TW201145392A - Silicon dioxide layer deposited with BDEAS - Google Patents

Silicon dioxide layer deposited with BDEAS Download PDF

Info

Publication number
TW201145392A
TW201145392A TW100119261A TW100119261A TW201145392A TW 201145392 A TW201145392 A TW 201145392A TW 100119261 A TW100119261 A TW 100119261A TW 100119261 A TW100119261 A TW 100119261A TW 201145392 A TW201145392 A TW 201145392A
Authority
TW
Taiwan
Prior art keywords
layer
gas
substrate
bdeas
impedance
Prior art date
Application number
TW100119261A
Other languages
English (en)
Inventor
Yong-Won Lee
Vladimir Zubkov
Mei-Yee Shek
Li-Qun Xia
Prahallad Iyengar
Sanjeev Baluja
Scott A Hendrickson
Juan Carlos Rocha-Alvarez
Thomas Nowak
Derek R Witty
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201145392A publication Critical patent/TW201145392A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Description

201145392 六、發明說明: 【發明所屬之技術領域】 本發明之實施例有關於電子電路製造中之二氧化石夕層 沉積。 【先前技術】 電子電路’諸如積體電路、顯示電路、記憶體電路及 電力電路,現今已越作越小以増加可攜性及運算能力。 二氧化石夕層使用於製造電子電路之主動式或被動式特徵 結構的各種應用。於一應用中,二氧化矽層係使用以製 造多層抗蝕刻堆疊,如揭露於美國專利第6,136,511號 "Method of patterning substrates using multiplayer resist processing”(授予Reinberg等人且申請於1999年i月 日)’在此以引用該專利全文之方式而納入本發明。—示 例之多層堆疊包含基底阻抗層、二氧化矽之中間間隔層 (以保護下方的基底阻抗層),及對能量(如光、χ光或電 子能)敏感之一頂部成像阻抗層。高解析度圖案可使用微 衫製程而產生於薄成像阻抗層中。此外,此圖案被傳送 至下方的間隔層及阻抗層以產生多層堆疊。然而,很難 在不彳貝害或腐蝕下方光阻層的情形下而於光阻層上形成 二氧化矽的間隔層。此因為傳統的二氧化矽層通常以超 過200它的溫度沉積。於此些溫度下,下方的光阻層藉 由於電漿中之活性氧離子而腐蝕,該電漿係用以沉積二 3 201145392 氧化石夕於光阻。減少二氧化妙沉積溫度會減少對光阻的 損害’ “,低溫沉積製程通常導致不的二氧化矽 層沉積。此外,於電漿中之活性基(如〇_2、OH-或C1’ 會改變光阻層之感光結構以產生不良的圖案化結果。因 此’很難在以低溫或不損害或腐蝕阻抗層的前提下,沉 積一氧化石夕間隔塗層於阻抗層。 於另一應用中,使用二氧化矽層於製造矽通孔 (through-silicon vias,Tsv)時 ’ TSV 係用以電性連接不 同並垂直堆疊之矽平板的主動或被動特徵結構。於tsv 製造方法中,通孔係於矽平板中蝕刻,並充填電導體以 作為垂直互連進入結構。於此結構中,於將含金屬之材 料沉積於該結構前,可使用二氧化矽層以將通孔之壁接 線此一氧化矽接線可作為,如絕緣層、散射阻障、密 封、抗濕氣或為其他理由而用。然而,於製造TSV特徵 結構的製程中,複數個矽平板以黏著劑彼此連接,該黏 著劑可流動且會在超過2〇(rc的溫度劣化。傳統的二氧 化石夕製程在較向溫下操作’而不能使用於TSv的製造。 因包3有這些和其他瑕疵的各種理由,不管沉積二氧 化矽層之各種方法如何發展,仍需不斷地尋找對沉積二 氧化矽之進一步改善方案。 【發明内容】 一種形成多層抗腐蝕堆疊於基材上之方法包括以下步 201145392 驟:形成圖案化阻抗層’圖案化阻抗層具有複數個彼此 間隔之阻抗特徵結構;及沉積二氧化矽層於圖案化阻抗 層的阻抗特徵結構上,包含以下步驟:⑴將具有圖案化 阻抗層之基材置放於製程區;及(ii)將包含有醜^及 含氧氣體之製程氣體引入至製程區。 -種使用於電子電路製造中之中間產物包括:基材; 圖案化阻抗層’係、位於基材上,圖案化阻抗層具有複數 個間隔之阻抗特徵結構,阻抗特徵結構具有頂表面、側 壁,及介於阻抗特徵結構間之間隙;及二氧化矽層,係 共形於圖案化阻抗層之阻抗特徵結構,共形之二氧化矽 層以誤差小於5%之厚度覆蓋阻抗特徵結構之頂表面及 侧壁。 一種基材處理設備,用以將二氧化矽層沉積於基材 上’該設備包括:基材支料,用以於製程區接收基材, 基材具有圖案化阻抗層’圖案化阻抗層具有複數個間隔 之阻抗特徵結構’阻抗特徵結構具有頂表面、側壁,及 介於阻抗特徵結構間之間隙;臭氧產生器,具有產生臭 氧之能力’· BDEAS蒸發器,用以產生BDEAS蒸氣;及 製程氣體分配器,包含氣體分歧管,氣體分歧管具有第 一氣體導管以接收BDEAS蒸氣及第二氣體導管以接收 臭氧’使得BDEAS及臬、氧於位在製程^上的混合區立 即混合,並接著將混合之BDEAS及臭氧釋放入製程區。 一種矽通孔製造方法包括以下步驟··於矽平板中蝕刻 複數個穿孔,穿孔包括側壁及底壁。沉積二氧化石夕層於 201145392 穿孔之側壁及底壁’包含以下步驟:提供具有複數個穿 孔之矽平板於製程區中;及將包含有BDEAs及含氧氣 體之製程氣體引入至製程區。 乳 【實施方式】 藉由目前的製程而沉積於基材之二氧化矽層具有不同 應用。基材可為,如⑴半導體晶圓(諸如矽晶圓、鍺晶圓 或矽鍺晶圓);(ii)化合物半導體晶圓(諸如砷化鎵);或(丨⑴ 介電性平板(諸如玻璃或聚合物平板),介電性平板可包 含硼磷矽酸鹽玻璃'磷矽酸鹽玻璃、硼矽酸鹽玻璃,及 磷矽酸鹽玻璃、聚合物或其他材料。如第丨八圖所示, 基材12可包含一或多個層15,層15可由含金屬、介電 性或半導體材料所製成。層15可呈現為單一連續層、分 割層或不同的主動或被動特徵結構(諸如積體電路、顯示 器元件、光致電元件、電晶體或其類似物),可置於基材 12中或置於基材12之表面上。 適合使用於製造多層抗蝕刻堆疊1〇於基材12之一製 程示範實施例顯示於第1A至1E圖中。如第1A圖所示, 阻抗層14形成於基材12上。典型地,阻抗層14沉積於 已在基材12上之層15上。然而,阻抗層14亦可直接形 成於基材12上。阻抗層14被圖案化以形成具有阻抗特 徵結構26之圖案化阻抗層24,阻抗特徵結構26作為抗 蝕刻特徵結構,藉由蝕刻位於阻抗特徵結構26間之層 201145392 15的曝露區域,以將圖案轉印至基材12上之下層i5。 於一變化中,阻抗層14為光阻層16,為不限於光子 或光感應材料之輻射感應材料,且可為光感應、電子感 應、X射線感應及其他輻射18_感應材料。於一變化中, 光阻層16為對光感應之正型光阻劑或負型光阻劑。正型 阻抗係一種曝露至光的光阻部分會變得可溶解於光阻顯 影劑,而未曝露至光的部分保持不可溶解於光阻顯影 劑。負型阻抗係一種曝露至光的光阻部分會變得不可溶 解於光阻顯影劑,而未曝露至光的部分則藉由光阻顯影 劑而溶解。光阻層16可為光阻材料,諸如聚甲基丙烯酸 醋(Polymethylmethacrylate,PMMA)、聚曱基環己亞醯 胺(Polymethylglutarimide,PMGI)、酚甲醛樹脂、雙氮 基醌(diazonaphthoquinone,DNQ)及酚醛樹脂(苯酚甲醛 樹脂,及樹脂類負型光阻之SU-8)。阻抗層14可由
Hoechst AZ 4620,Hoechst AZ 4562,Shipley U00-17, Shipley 1400-27’ Shipley 1400-37,及 Shipley Microposit
Developer而取得。於一變化中,光阻層16形成有約從 20至50〇nm之厚度,如約5〇至2〇〇nm或約12〇至15〇請。 阻抗層14可以液體的方式藉由浸潰塗佈或旋轉塗佈 而應用。於旋轉塗佈製程中,液體阻抗係調配於基材12 之表面上,同時基材12急速的轉動直到液體阻抗變乾 燥。旋轉塗佈製程通常以轉速約從3〇〇〇rpm至7〇〇〇rpm 實施20至30秒。阻抗塗佈後接著為軟烘烤製程,軟烘 烤製程加熱旋轉塗佈之阻抗層,以從旋轉中之阻抗處蒸 201145392 發溶劑’改善阻抗對基材 2的黏者性,或甚至將阻抗層 退火以減少於旋轉塗佈過程中所引入之剪切應力。軟 供烤可執打於-供箱,如對流烘箱、紅外線烘箱或熱平 板烘箱。軟供烤的通常溫度範圍約從8〇至崎。如另 -例子’亦可使用乾燥薄膜,如輻射感應之聚合物薄膜。 乾燥薄膜依其薄膜特性可能需要或不需要烘烤或熟化。 之後,如第1B圖所示,包含有如光阻層16之阻抗層 14係曝露至輻射18的圖案’輻射18係經由光罩的 輻射源19而提供。光罩2〇可為具有孔22(如圖所示)或 透明部分(圖未示)之平板21,對應允許輻射18選擇性地 透過光罩部分以形成交錯的線或弧之輻射圖案。光罩2〇 由傳統方法而製成。 於一變化中,光阻層16為光感應材料,如雙氮基醌。 轉射源19提供具有波長小於3〇〇nm,如248njn之紫外 光,就像水銀燈一樣。包括雙氮基醌之光阻層 強烈地吸收具有波長約為300nm至45〇nm的光線。 於另一變化中,光阻層16為基於雙氮基酿(DNq)與酚 酿樹脂(苯酚甲醛樹脂)之混合物的正型光阻劑。適合用 於此光阻劑之輻射源19為水銀蒸氣燈,設定成從水銀蒸 氣燈提供包含I、G及Η譜線之光。 於又一變化中’光阻層16包含SU-8,係為黏性聚合 物’可旋佈或散佈於厚度從0_1微米至2毫米的厚度上, 並經由彳币準接觸式微影技術而處理。此光阻層1 6可有利 地使用以圖案化具有咼深寬比(及特徵結構之高度對寬 201145392 26 ’高深寬比意指等於或大於 19 供具有波長為19 3 nm之 度比率)之阻抗特徵結構 2〇。於此變化中’輕射源 紫外先。 源19#係^化中’光阻劑16包括電子感應材料,且轄射 ’、電子束源。電子束微影技術通常專用於電子束 :曝露之光阻材料。可使㈣統的電子束微影技術及材 , 抗層14曝露至輻射18以於阻抗層14產生圖案 曝露的阻抗層14被顯影以形成具有複數個阻抗特徵 、·:構26之圖案化阻抗層24’阻抗特徵結構26係彼此間 隔’如第lc圖所示。於顯影步驟中,ϋ射曝露之光阻 層16以—液體顯影劑處理以設定曝露及未曝露部分,以 形成圖案化阻抗層24。液體顯影劑於曝露之阻抗層14 觸發化學反應,其中光阻層16之未曝露或曝露部分依其 係為正型阻抗或負型阻抗而溶解於顯影劑。合適的顯影 劑包含有基底的稀釋溶液,如碳酸鈉或碳酸鉀。舉例來 說’顯影劑可為1%的碳酸鈉水化物(Na2C〇3H2〇)或碳酸 钟(ICO3)、氫氧化鈉或其混合物。可使用自動循環控制 酸驗值之顯影方式,並將酸驗值設定在約1 0.5。阻抗層 14可藉由浸潤或喷塗於所選擇之顯影劑而顯影。於顯影 後’具有阻抗特徵結構2 6之基材12被沖洗及乾燥以確 保在顯影劑從基材12移除後不會繼續顯影。 於下一步驟中,二氧化矽層28沉積於圖案化阻抗層 24之阻抗特徵結構26上,以形成多層抗蝕刻堆疊1〇, 201145392 如第ID及1E圖所示。多層堆疊10包括具有頂表面3〇 及側壁3 2之阻抗特徵結構,且具有介 …間心二氧化…形成連續層,= 特徵結構26之頂表面30及側壁32,及介於阻抗特徵結 構26間之間隙34 ,使得二氧化矽層之側壁厚度(Ts)對 二氧化矽層之頂部厚度(Tt)的比率約為〇 % : i至約i : 或甚至即為1 . 1,且Tt對一氧化♦層之底部厚度(Tb) 亦約為0.95 : i至約i : !,或甚至即為t : i。Tt與Ts 間厚度低於或約5%的誤差及^與几間厚度低於1%的 誤差為完美且非預期結果◎共形的二氧化矽層28以具有 演算後低於5%或甚至低於3%之厚度連續地覆蓋阻抗特 徵結構26,並越過包含有3〇〇職矽晶圓之基材。共形 之二氧化矽層28保護下方阻抗特徵結構%之形狀及尺 寸。此允許圖案化阻抗層24在後續製程維持較精確的尺 寸,如臨界尺寸,後續製程係指於基材12上㈣位在圖 案化阻抗層24之下的们5。層15可為介電性層、含金 屬層或板導體材料層,《甚至為顯示器或晶圓之一部 分。共形之二氧化石夕層28保護下方的阻抗層14於後續 之沉積絲刻製程中不會燒除或氧化,特別於當阻抗層 W包含有聚合材料時。 於另-示範實施射’制二氧切層28以於基 製造料孔(TSVs),基材12具有梦平板25,如第% 至2G圖所示。於-通常所使用之製造方法中,石夕平^ 25係安裝於載體27上,如第2A圖巾所示。梦平板^ 201145392 可具有各種形式,如一層之矽,例如包含薄矽晶圓,薄 矽曰日圓係由包含有單晶矽、多晶矽沉積於載體27上,或 ^他形式之晶質或非晶質矽。於製造矽通孔(TSVs)45的 Μ 日 BS . B,矽平板25由如玻璃、聚合物、陶瓷或半導體平板, 如石夕晶圓或由其他材料所製成之載體27所支撐。於一實 施例中’載體27係為具有厚度約從4〇〇至8〇〇微米(如, 約700微米)的矽晶圓,且矽平板25係為具有較小厚度 約從50至500微米(如,約1〇〇微米)之矽晶圓。黏著層 29將矽平板25連結至載體27。合適的黏著層29包含 有’如熱塑性黏著層’如可以UV或熱熟化的熱塑性樹 月曰。合適的熱塑性樹脂包括烴聚合物,如BreWERTM(由 3M Coirp· Minnesota製造;某些熱塑性樹脂流動並熟化 於低於約350。(:之溫度,如250°C或甚至200。(:。 為製造矽通孔(TSVs)45 ’於矽平板25連結至玻璃載體 27後’複數個穿孔3 1經該矽平板25蝕穿。於蝕刻製程 前’可使用傳統的阻抗及微影製程以於矽平板25上形成 複數個阻抗特徵結構3 5,如第2A圖中所示。於钱刻製 程中’基材12係置放於製程區42a並曝露至石夕钱刻氣體 36以钱刻矽平板25之曝露矽表面38,如第2B圖所示, 基材12包括具有上層阻抗特徵結構35圖案之矽平板 25 ’矽平板25之曝露矽表面38係位於阻抗特徵結構35 間。於一實施例中,蝕刻氣體包括四氟甲烷(Cf4)及六氟 丙稀(CsF6)。藉由施加13.6MHz之頻率的電流至製程電 極’矽蝕刻電漿在製程區約維持從1〇〇瓦至1〇〇〇〇瓦的 11 201145392 功率位準 以飯刻基材12。 n_曝露的$夕部分38以於其中形成複數個 如第2B圖中所示。通常’穿孔31係為實質上 並延伸經過大部分的⑦平板25或甚至全部的石夕平 實施例中,冑孔3 1被飯刻以形成深寬比(高 又除乂特徵結構之寬度的比例)至少約為1〇 : 1,或甚至 10: \ 5^ ic. « .之孔。於此實施例中,穿孔3 1具有約 從4微米i 50微米的直徑。於蝕刻製程後,使用傳統的 阻抗灰化及剝除製程以移除殘餘在基材Μ上的殘餘阻 抗材料-如,使用含氧電漿以灰化殘餘阻抗。 之後,二氧化石夕層28沉積於穿孔31以覆蓋穿孔31 的側壁39及底壁41,及矽平板25的表面38,如第2C 圖中所示。於沉積製程期間,腔室4〇維持於約從5至 200托爾的壓力,或甚至約從1〇至1〇〇托爾的壓力。將 沉積氣體引進至腔室40,沉積氣體包括約從1〇〇至 5000mgm或甚至約從3〇〇至3〇〇〇mgm流率的BDEAS, 及約從200至20〇〇〇sccm或甚至2〇〇〇至12〇〇〇sccm流 率的氧氣。含氧氣體可為〇2或Ο"臭氧),或可提供〇2 以形成〇3(臭氧)。溫度於沉積期間可維持在或低於18〇 °C。使用低的製程溫度於沉積製程可有助於將共形的二 氧化矽層28於低於200°C的溫度下沉積入穿孔3丨,此溫 度低於使用以將載體27連結至矽平板25之黏著劑的再 流動溫度。此外,本製程允許沉積共形的二氧化梦層 28,而此對於使用傳統製程於低溫下之操作是困難的。 12 201145392 二氧化矽層28令穿孔3 1的側壁39電性絕緣β 於沉積二氧化矽層28後,基材12接受反應離子蝕刻 (reactive ion etching,RIE)製程以將形成於穿孔3丨底壁 41之一氧化矽層28蝕刻去除。於此製程中,基材丨2係 置放於製程腔室40b’將使用氧蝕刻氣體37(如含氟氣體) 電漿之傳統氧蝕刻製程引入腔室4〇b的製程區4孔,以 蝕刻去除於穿孔31底壁41的二氧化矽層28,如第2D 圖中所示。 之後’使用金屬沉積製程以沉積含金屬材料47至穿孔 31'於-實施例中’使用傳統的電化學製程以將金屬電 鑛入穿孔,如第巧圖中所示。於此製程中,基材η 被浸入包含合適含金屬離子之電鍍浴。基材以被以如陰 極而充電’而另一電極’如陽極(圖未示)係置放於電鍍 浴中。組合而產生之電流將金屬離子沉積於穿孔Η中以 建立含金屬材料47。於電鍍製程前’包含有與含金屬材 料47相同金屬原子之種晶層可藉由物理氣相沉積的方 式而沉積於穿孔31的表面上。此外,亦可沉積阻障層以 防止金屬離子的擴散,如鈦層或㈣。除了電”程外, 亦可使用傳統的金屬沉積製程,如物理氣相沉積 含金屬材料47沉積人穿孔31。合適的含金屬材料心 含銘、銅、金、鈦、鎢,及其合金或化合物。 " 於沉積含金屬材料47之後,將基材12翻轉, 統的化學機械拋光方法以拋光去除於矽平& 2 38上的多餘含金屬材料47,如第_中所示。執行: 13 201145392 學機㈣光步驟直到所有的含金屬材料47皆被拋光去 除。拋光製程亦可使用以移除仍殘留在石夕平板Μ之表面 38中的殘餘二氧化矽層28。因此’含金層材料ο的頂 部分現在可曝露以作為接點。 二氧切層28係❹熱⑽製程而沉積作為多層堆 疊10的-部分,或沉積於梦通孔45的側壁39上。第 3A圖顯示有基材製程腔室4〇的一實施例,腔室4〇可使 用以藉由熱CVD而沉積二氧化矽層28。腔室4〇作為一 'J腔至…、而,對於熟知該項技術者,亦可使用其他 腔室。故本發明之範圍不應被限制於在此所述之示例腔 室。 在沉積方法中,較佳地使用包含醜AS之製程氣體 (其係為二乙胺基(Bis,diethylamin〇)石夕甲燒且可具有化 學式SlH2(NC2H5)2)作為二氧化石夕層28的石夕來源。使用 理論模擬及實驗以選擇BDEAS結合含氧氣體而作為先 驅物氣體。此獨特態樣的先驅物允許將二氧化矽層28 儿積於基材12上’且不產生額外數量的反應自由基或離 子(會傷害圖案化阻抗層24的阻抗特徵結構或黏著層Μ 或其他至皿材料)。此理論模擬模型預測AS前驅物 可無須形成必要的直接或遠端電漿,於沉積期間藉由〇- 自由基或03自由基以相對較低溫度生成的負熱而氧 化以反應阻障計算之BDEAS的可能氧化反應路徑如 下所示: 201145392
(C2H5')2N
+ N(C2Hs)2 N(〇2H5)2 + N(C2Hs)2 + OH
Hffor N(C2H5)2 removal:
Hf (I)--1eV Hf(ll)--2eV 於此製程中,具有圖案化阻抗層24之基材12或用於 矽通孔45之穿孔31係置放於製程腔室40之製程區42。 提供包含有BDEAS及含氧氣體之製程氣體於製程區42 以沉積氧化矽於基材12。可以不同方法提供液態BDEAS 至製程腔室40。於一方法中,使用包含有BDEAS液體 蒸發器2 1 〇及注入閥2 11的氣體傳送系統200而令液態 形式的BDEAS經由氣體線288並藉由載氣而傳送至製 程腔至40。液體蒸發器21〇包括經由液體流量計223而 麵接至液態BDEAS源、225之注入閥211。液態bmas 源225係輕接至獨立的壓縮氣體源π,如氦氣源,使 用以將液態咖AS推出並傳送至注入閥2ΐι。分離的載 氣源233以由f量流控制器239所控制之載氣流率而供 應載氣至注入闕211,暂旦, ㈤211 流控制H 239接著連接至系 統控制器並由系統控制器 R * 制以允5斗控制質量流控制器 239及為了偵測阻塞的目的 溫产。 现控質量流控制器239的 於操作中,惰性載氣(如氨氣 發提供於蒸㈣2H)中的處理 源加流出以蒸 夜體。蒸發器210的流入 15 201145392 及流出係由閥289及閥290所控制,閥289位於蒸發器 210之氣體傳送線288上游處,閥29〇位於蒸發器 之氣體傳送線288下游處。具體而言,上游之關斷閥289 控制載氣經由氣體線288流向至蒸發器21〇。終閥29〇 位於蒸發器210的下游處及傳送線288的出口前,且可 使用以控制载氣/蒸發的液體混和物從蒸發器至製 程腔至40之氣體分配器72的流量。從氣體源233之載 氣的流率可使用質量流控制器239而設定成所欲的流 率。 處理液體蒸發於蒸發器210中,如第3A至3B圖所 不,產生蒸發的BDEAS及氦氣的混和物,以從注入閥 2U的出口 217經由終閥290及轉向閥291而流至製程 至40。蒸發器210包括傳統的注入閥211,注入閥211 具有用於輪入處理液體(如BDEAS液體)之處理液體入 213,用於輸入惰性載氣之載氣入口 215,及輸出蒸發 的處理液體/载氣混和物之出口 217。於每一注入閥2ιι 内處理液體入口 213終止於流孔219 ,流孔219通到 處理液體入口 213、載氣入口 215及出口 217相遇之中 、區221。注入閥211係構成使得流孔219及中央區221 的相對i?斗 「 τ八τ、壓力' 流率及處理液體及載體流的相對方 向可於中央區221之區域内產生壓降,如已為該領域中 斤^知。此壓降使得供應至處理液體入口 213之處理液 體田從處理液體入口 213經由流孔219而至中央區221 夺蒸發。處理液體入口 2U與液體流量計223(LFM)耦 16 201145392 接’以控制處理液體行進至注入閥2丨i之流率。液體流 量計223亦經由線227而與蒸發器21〇内之液態BDEAS 源225耦接,液態BDEAS源225接著耦接至壓縮氣體 源229 ’如壓縮氦氣之不銹鋼罐。 於操作中’壓縮氦氣流迫使處理液體從處理液態 BDEAS源225經由線227而流至液體流量計223。當處 理液體從液體流量計223經由處理液體入口 2 13及流孔 219 /瓜至注入閥211之中央區221時,液體流量計223 控制處理液體的流率。從載氣源233(如氦氣)流出之壓縮 載氣,經由載氣入口 215而進入中央區221。由於當處 理液體從流孔219行進至中央區221時所遭受到的壓 降,.當處理液體進入中央區221時,處理液體蒸發並與 載氣混和。所結合之蒸發處理液體/載氣從注入閥21丨經 由出口 217而流至製程腔室40。 於另一方法中,BDEAS藉由將包含有液態BDEAS之 载氣經由起泡器336起泡而提供’並將載氣與由相同載 氣所傳送之BDEAS蒸氣流至製程腔室4〇,如第3c圖所 示。載氣經由起泡器336而起泡,以用蒸發的BDeas 形式而傳送液體至製程腔室40的氣體分配器72。起泡 器336包括可容納液態BDEAS之容器337,用於載氣源 339之入口管345,載氣源339提供做為從載氣源 輸出之壓縮氣體,及出口管346,用以释放具有所傳送 BDEAS的载氣。合適的載氣源339包括壓縮氦氣或氦氣 與其他氣體混和物,如氬氣之不銹鋼罐。當經由起泡器 17 201145392 336而起泡’载氣傳送蒸發的BDEAS或甚至液體前驅物 的部分至腔室40。適用於BDEAS的質量流率係約從200 至2000mb/min,或甚至500至l〇〇〇mg/min。合適的載 氣包含惰性氣體或不與BDEAS反應的氣體。於一變化 中’載氣傳送液態前驅物至位於製程區42上之混和區 43 ’於製程區42被加熱及蒸發,如第3 a圖所示。為產 生此流率之BDEAS,載氣經由起泡器336以約從100至 5000mgm的流率,或甚至約從5〇〇至3000sccm的流率 可使用不同種類之含氧氣體於沉積製程。例如,含氧 氣體可包含臭氧、氧器或其混合物。含氧氣體的合適流 率係約從200至20〇〇〇secm,或甚至約從2〇〇〇至 lOOOOsccm。有利地,臭氧不產生氧自由基(如〇-2)且不 會接著氧化或腐蝕阻抗層14,如光阻層16。臭氧所產生 之Ο3自由基,實質上較由氧氣或電漿所產生之自由基 不與光阻層16反應。臭氧可經由將含氧氣體(如氧氣) 通過臭氧產生器而產生》合適的臭氧產生器包括臭氧 器,如 MKS 8403(由 MKS Instruments, Andover,MA 所 製造)。當使用臭氧時,用於臭氧之體積流率與使用於製 造臭氧之含氧氣體的體積流率相同,約從2〇〇至 2_〇SCCm。於-變化中’ BDEAS對含氧氣體(如臭氧)
•至5〇 : 1,或甚至約從〇.〇5 : 丨控制沉積之二氧化矽層28的化學計 的化學計量比例或其他的比例,如 18 201145392 X y此比例也控制共同沉積於二氧化石夕層2 8之碳含 量。 可使用包括有混和區43之氣體分配器72以混和 BDEAS及含氧氣體。於此變化中,BDEAS及含氧氣體 ,由獨立的流量管道而引入,使得氣體立即混和於製程 區42上之混和區。混和區43防止在這些氣體引進製程 區前42的不必要反應。混和區43也允許製程氣體藉由 控制混和區43的溫度而混和於預設的溫度。於一變化 中,混和區43維持於約至少9〇它,或甚至約至少12〇 C。此溫度可藉由使用加熱器,如電阻式加熱器、燈或 其他加熱器,主動地加熱混和區而達成。 於沉積製程期間,基材12可維持在相對低溫以改善於 BDEAS與含氧氣體間的反應,以沉積二氧化矽層28於 基材12上。於-變化中,基材12的溫度維持於低到不 才貝害基材12上之阻抗層14,特別於當阻抗層14為聚合 物光阻層時。夠低的溫度係指低於7〇它,或甚至低於5〇 c。然而,若阻抗層14係對熱敏感的,BDEAs沉積製 程可甚至於更低的溫度下執行(如,於室溫)。有利地, 對熱或溫度有幫助之反應改善了氣體相位反應,而無需 使用任何的電漿,以避免對光阻層丨6的可能損害。 於製程區42中的氣體壓力維持在約從1至6〇托爾, 或甚至約從2至10托爾,或甚至約4托爾。氣體壓力可 藉由氣體排出器90而控制,氣體排出器9〇包括節流閥 及排出系’如真空泵及满輪分子泵。 19 201145392 —現參考第3 A圖,通常,腔室40係為化學氣相沉積腔 至’適用於基材12,如矽晶圓,合適的腔室為Producer® 種類(Applied Materials,Santa Clara,California) » 腔 至40包括環繞壁48,環繞壁48包含天花板52、側壁 54及底壁56 ’而圍繞製程區42。腔室40亦可包括襯套 (圖未不),襯套將環繞壁48之至少一部分襯於製程區 為處理3〇〇mm石夕晶圓’腔室一般具有約20000至 3 0000cm3的容積,較特定地為24〇〇〇 cm3的容積。 於製程循環期間,基材支撐件58降低且基材12通過 入口埠62藉由基材傳送器64(如機械臂)而置放於支撐 58上。基材支撐件58可在負載及卸載之較低位置,及 用以處理基材12之可調整較高位置間移動。基材支揮件 58可包含圍繞的電極44a以從引進至腔室4〇之製程氣 體產生電漿。基材支料58亦可藉由熱交換器68(可為 机體循%熱交換器、加熱器、加熱燈、冷卻器或其他基 材溫度可藉由電漿自身而維持之方式)而冷卻或加熱以 維持於所欲的溫度。例如,可採用熱交換器68以將基材 支撐件上的基材12維持在低於200°c。基材12亦可藉 由將基材提高至接近氣體分配器72的面板74而加熱。曰 基材支樓件58—般包括具有接收表面以接收基材12的 陶瓷及’或金屬結構’陶瓷及/或金屬結構保護電極44a 免於受到腔室環境的影響。使料,施加無線射頻(RF) 電屋至電極44a及施加直流(Dc)fa至熱交換器^。亦 可使用於基材支撐件58中之電極4蝕以將基材Μ靜電 20 201145392 地夾持至支撐58。基材支㈣58也可包括—或多個環 (圖未示),至少部分地環繞於支樓58上之基材12的周 緣0 於基材12負載於基材支撐件58上之後,支撐58舉高 至接近氣體分配器72之面板74的處理位置,以於其間 提供所欲的間隙距離ds。間隔距離可約從至 50mm。氣體分配器72之面板74係位於製程區42上, 以均句地傳播製程氣體於基# 12。氣體分配器Μ亦包 含氣體分歧管73,可分別傳送兩個獨立的第一及第二製 程氣體流至混和區43,而無須在將第一及第二製程氣體 引入混和區43前先混和該些氣體流。例如,第—氣體流 可傳送切前驅物氣體,如BDEAS,而第:氣體流可傳 送氧前驅物氣體’如臭氧。此允許含矽前驅物氣體(如 BDEAS)具有獨立於含氧氣體的流動路徑,以避免預先混 和該些氣體,直到含矽前驅物氣體到達腔室4〇之混和區 43及/或製程區42。面板74具有氣體孔%,允許製程 氣體通過其間。面板74 一般由金屬所製成,以允許施加 電壓或電&於其上’並藉此作為腔t 4〇之電極…。合 適的面板74可由鋁以陽極塗佈而製成。 基材製程腔室40也包括第-及第二氣體供應H 80a 及80b以傳送第一及第二製程氣體至氣體分配器72, 氣體供應器8〇a及80b分別包括氣源82a及_、一或 多個氣體導管84a及84b及一或多個氣體閥86a及86卜 例如,於一變化中,第一氣體供應器8〇a包括第一氣體 21 201145392 導管84a及第一氣體閥86a以從氣源82a傳送第一製程 氣體至氣體分配器72之第一入口 78a ,而第二氣體供 應器80b包括第二氣體導管84b及第二氣體閥86b以從 第一氟源82b傳送第.二製程氣體至氣體分配器72之第二 入口 78b。於一變化中,第一氣體供應器80a可包含具 有BDEAS源之第一氣源82a,而第二氣體供應器8〇b可 包含具有臭氧源之第二氣體源82b。BDEAS可藉由如前 所述之液體蒸發器210或起泡器336而供應。當使用 BDEAS作為含矽氣體時,氣體分配器72之面板亦可包 含加熱器75,以將氣體分配器72之面板74加熱至夠高 以改善前驅物的氣相反應。加熱器75可為電阻式加熱 器、燈、流體熱交換器或其他加熱器。合適的溫度包括 至少約120。(:,或甚至至少約14〇。〇,如為16〇°c。 可選擇地,製程氣體可藉由耦合電磁能(如,高頻電壓 能)两充能至製程氣體,以從製程氣體形成電漿。然而, 於某些應用中,較佳地不充能製程氣體,特別於當製程 氣體包括BDEAS或當阻抗層為聚合物阻抗時,聚合物 阻抗會經由含氧電漿離子而氧化。為充能製程氣體,電 壓可施加於⑴第-電極44a,可為氣體分配器72、天花 板52或腔室側壁54及⑼第二電極桃,位於支撐Μ 内之間。經由一對電極44a及他所施加之電壓,電容 搞合能量於製程區42中之製寇洛趟^ 軋體。通常,施加至電極 44a及44b之電壓係為 來’射頻覆蓋範圍約為 以射頻震盪之交流電壓。一般說 3kHz至3〇〇GHz。為了本發明之 22 201145392 目的’使用較低的射頻,如低於約丨Μ '幻1ΜΗζ ’較佳地約從 200ΚΗζ 至 1MHz(如約 300ΚΗζ 的栖 f, 的頻率)。同樣是為了本 發明之目的,使用較高的射頻,如古 ^ 如间於約從3MHz至 60MHz,較佳地約$ 13.56MHw;m擇的射頻電壓以約 從l〇Wi 1000W的功率位準而施加至第一電極他而 第二電極44b —般係接地。然而 m 所使用的特定射頻範 圍及所施加電麼的功率位準传依播彡主β扯 卞1 +你依據待沉積之材料種類而 定0 4〇移除使用過 腔至40亦包括排氣褒置9〇,以從腔室 的製程氣體及副產物並於製程區42中將製程氣體維持 於預定的壓力H化中’排氣裝£ 9G &含系通道 92,用以接收從製㈣42#出的使用過製程氣體、排氣 埠94、節流閥96及一或多個排氣录98以控制於腔室4〇 令之製程氣體的塵力。排氣果98可包含一或多個渦輪分 子泵、低溫泵、真空泵及具有超過一個功能之結合功能 泵。腔室40亦可包括經過腔室4〇之側壁%的入口埠或 管(圖未示),以傳送清潔氣體至腔室4卜清潔氣體一般 從入口埠向上經過基材58❿至一環型泵通道。使用清潔 氣體以保護基材支撑件58的表面,及其他腔室元件免於 在處理期間的非預期沉積。亦可使用清潔氣體以影響製 程氣體以所欲方式流動。 亦可提供控㈣102以控制腔冑4〇 #操作及操作參 數。控制器102可包括’如處理器及記憶體。處理器執 行腔室控制軟體,如儲存於記憶體中之電腦程式。記憶 23 201145392 體可為硬碟機、唯讀記憶體、快閃記憶體、或其他種類 的記憶體。控制器102亦可包括其他元件,如磁碟機或 插卡框架。插切架可包含單基板電腦、對比及數位輸 入/輸出基板”面基板及步進馬達控制板。腔室控制軟 體包含多組指令,指定a主叫 ^ 疋寻間、氣體混和、腔室壓力、腔 室溫度、微波功率位準、高頻功率位準、支撐位置及其 他特定製程的參數。 腔室40亦包括電源供應器⑽以傳送電力至不同的腔 室元件,如於基材支揮件58中之電極仏及於腔室中 之第二電極44b。為傳送電力至腔室電極4W電 源供應器104包括射頻電壓源,射頻電壓源提供電壓, 電壓具有選擇的射頻及所欲、可選擇的功率位準。電源 供應器104可包含單一射頻電壓源或同時提供高射頻及 低射頻之複數射頻電壓源。電源供應器iG4亦可包含Μ 匹配電路。電源供應器104可更包括-靜電充電源,以 提供靜電充電至電極’通常係為於基材支#件58中之靜 電夾頭°。當使用熱交換器68於基材支樓件58内時,電
源供應§§ 1 04也包含力〇4¾、iS 3加熱益電力源,以提供合適的可控 制電壓至熱交換器68。當Dc㈣施加至氣體分配器Μ 或基材支餐58時,電源供應器⑽亦包含DC偏壓電 壓源’ DC偏壓電壓源連接至氣體分配器72面板μ的 導體金屬部分。電源供應器1〇4 、 一 匕3用於其他腔室 70件(如馬達或腔室4〇的機械臂)的電力源。 基材製程腔室4〇也包括溫度感應器(圖未示),如熱稱 24 201145392 元件或干涉儀,則貞測腔室4〇内各表面的溫度,如元件 表面或基材12表面。溫度感應器能傳達其資料至腔室控 制器1〇2’腔室控制器102可接著使用溫度資料 製程腔室40的溫度.,如藉由控制於基材支撐件58 電阻加熱元件。 範例 以下的說明範例將證明依據本方法沉積之二氧化石夕層 28的效用與優點。:氧切層28沉積於基材12上,作 為多層抗腐料疊1Q的—部分,亦可作為形成於石夕平板 25中石夕通孔特徵結構45之氧化物襯塾。於此所述之結 構及方法將參考這此說明益彳丨 一%明軏例而更易理解。然而,應理 解的是,於此所述的每—特徵結構可單—使用或與其他 結構結合’並非僅如所述之特定範例。因此於此所提 供之說明範例不應使用作為限縮本發明之範圍。 範例1 :多層堆疊 關於多層堆疊範例’抗反射塗佈之層15先沉積於某些 基材12 h對這些實驗來說,上方毯覆阻抗層μ包含 厚度約193nm之光阻層16(如職2135光阻)係形成於 基材12上。選擇地,阻抗特徵結構“係以傳統的微影 製程而從阻抗g 14製成。之後’ ☆某些例子中,使用包 含有BDEAS及臭氧之製程氣體及以下的製程條件而將 共形的二氧化矽層28沉積於阻抗特徵結構%上,條件 為:BDEAS係以2_mgm的流率與4〇〇〇sccm的載氣流 率’.藉由液體蒸發器而產生;1〇〇〇〇sccm的臭氧流率; 25 201145392 製程氣體壓力π « 為4托爾;基材溫度為7(rc ;及面板溫度 為 160〇C。 斤述所况積之二氧化石夕層28的傅立葉轉換紅外 線(FTI^光譜顯示於第4圖。如從圖中所示,Si-〇訊號 峰值相^月確且偵測到強度較低@ Si-OH φ值。我們扨 為出現於沉積二氧一中之請可藉由降:: 積壓力而減V °此外,我們發現,當沉積層中之Si-〇H 3里較低時’例如’ Si_OH對Si-O比例低於ι〇%(任意 FTIR強度單位)時,所沉積之二氧化矽層28更共形於下 方的光阻層16。更共形之二氧化石夕層28更緊密地配合 阻抗特徵結構26的形狀,並以均勻的二氧化矽厚度覆蓋 特徵結構之頂表面3〇及側壁32。於沉積之二氧化石夕中 較同的Si-OH含量,導致氣體產生至較可流動的沉積 b亦即層並未以均勻的方式而覆蓋頂表面3〇、側壁32 及間隙34 ’反倒是以較薄層填滿間隙34,且同時以一較 薄的二氧化矽層覆蓋頂表面30及側壁32。進一步確定 於FTIR光譜中偵測到的少量碳可藉由後沉積電漿處理 而移除。此外,二氧化矽層28的沉積率可藉由改變製程 參數(如製程氣體壓力及面板溫度)而可改變。將基材12 維持於低於70 C的基材溫度(例如,藉由使用較低溫度 的熱交換器)亦可提供較低的沉積率。 不同的氧化環境對於包括有毯覆光阻層16之阻抗層 14之影響可藉由將位於基材12上之毯覆光阻層16曝露 至不同的氧化環i兄而測得。基材12維持於7〇°c,且測 26 201145392 量於基材12上之毯覆光阻層16於氧化製程中被钱刻去 除的厚度’如第5圖所示。氧化環境於與實際沉積製程 相同的製程條件下模擬,然而,醜AS並未被引入製程 區42。於第一實驗中,含氧氣體(如…或&⑺藉由於遠 端區之遠端電漿源以微波能而活性化或充能,接著被引 進製程區42以提供遠端充能之氧離子於製程區42。於 第二實驗中’由臭氧產生器(如聰侧產生器)所產 生之臭氧被引人製程區42,且並無電隸臭氧形成。執 行這些實驗以估計於光阻層16上氧化環境的定量效 應,且不產生二氧化石夕沉積(由於BDEAS的存在)。我們 發現由遠端電漿源所提供的氧自由基會對光阻層“產 生相當大量的蝕刻,而若以臭氧操作的話,則不會對光 阻層16產生任何的蝕刻或損害。此實驗證實了使用臭氧 作為氧化氣體以沉積氧化層是理想的。 使用BDEAS及含氧氣體以於低溫,甚至於低於9代, 匕積-氧化石夕| 28之沉積製程的優點可由沉積之二氧 化梦層28的顯微圓而了解。於沉積製程前的掃描式電子 ^微圖顯示於第6A圖’顯示有光阻層16之阻抗特徵結 %的截面形狀。沉積於此光阻層16’由BDEAS所衍 生之-氧化石夕層28顯示於第6B圖。可以看出所沉積之 ::化矽層28顯現出良好的階梯覆蓋且配合阻抗特徵 :^頂表面3〇及側壁32的外型,且並未腐钱或損害 阻抗特徵結構26。 相同之二氧化石夕層28的穿透式電子顯微圓顯示於第7 27 201145392 圖,顯示有覆蓋阻抗特徵結構26之二氧化矽層的均勻厚 度。顯微圖同時也顯示沉積之二氧化矽層28共形於特徵 結構26,且並未損害特徵結構26的光阻材料。從這些 顯微圖中,我們測得共形之二氧化矽層28連續地覆蓋二 抗特徵結構26以形成具有均句厚度之層。例如,沉積之 =氧化矽層28係共形到足以具有層之側壁厚度(Ts)對頂 部厚度(Tt)的一特定比例(如第1E圖所示),但由第6圖 的照片可測得,該比例係介於約從〇 95 U至丨:【或甚 至即為卜㈣,Tt對底部厚度(Tb)的比例係介於約 從0.95 :1至1:1 ’或甚至即為丨:卜
此資料證實了使帛聰AS之沉積反應(可於低於赃 的低溫執行)改善二氧切的氣相反應及沉積1由不使 用電裝’可避免氧化對光阻層16造成的損害,甚至以臭 氧形式而呈現的氧離子中亦為可行。此外,以BDEAS 作為基礎的製程提供良好的階梯覆蓋及二氧切的共形 層,且易於選擇參數(如沉積率)以提供特定目標。此製 程也以低於m;的溫度沉積氧化薄膜,而可允許使用於 許多微影技術相關的廉用φ …用中’如減少阻抗特徵結構之臨 界尺寸收、缩及蝕刻特徵結構,及產生雙圖案之微影製 程。 範例2 :矽通孔 於另例巾#形的二氧化發層沉積於⑦通孔之穿孔 内側,料孔係形―平板中。❹包含有娜AS 及臭氧之沉積氣體於以下的製程條件:bdeas係以 28 201145392 2000mgm的流率與4000sccm的氦氣載氣流率,藉由液 體蒸發器而產生;l〇〇〇〇sccm的臭氧流率;沉積氣體壓 力為4托爾;基材溫度維持在18〇1。 矽通孔特徵結構(或複數個特徵結構)之穿孔截面的 SEM顯微圖顯示於第8圖,矽通孔特徵結構係使用 BDEAS作為基礎之製程,經由矽晶圓蝕刻並具有二氧化 :襯塾沉積於其中。穿孔具有高的深寬比,約為n(即 高度約為100微米,直徑約為9微米)(> 如圖示,二氧化 矽層對穿孔之侧壁、底壁及底角提供良好的覆蓋,即便 是在高的深寬比(至少、10: υ下。以BDEAS ί冗積之二氧 化石夕層顯示以i的i個標準差之百分比薄膜均勻度。尺 寸低於0.16微米的瑕疲可發現少於3〇個。折射率及折 射率範圍係…㈣卜⑽埃/分之沉積率係良好的。 相對地,第9圖顯示石夕通孔特徵結構之截面的腫顯 微圖’料孔特徵結構包括以電聚加強式化學氣相沉積 (PECVD)製程所沉積之傳統二氧化矽層。顯微圖係穿孔 的中央部及底部,如所標示。 ί製程亦產生非預期且令人舒異的結果。例如,當比 較從第8圖,以BDEAS沉積之-童各 亦氧化矽層的側壁覆蓋 率(由側壁厚度及對頂部厚度的 圖,以pecvd沉積之m &義)’及從第9 —氧切層的_覆蓋率時,由 B D E A S沉積層之穿孔的側壁厚 復蓋率較PECVD沉積 之一氧化矽沉積層之穿孔的側 , 度覆蓋率均句。例 如’於側壁底角處,BDEAS階梯
盖丰為46%,而PECVD 29 201145392 二氧化矽層僅為3%。表1顯示這些結果及其他用以證明 BDEAS衍生之二氧化石夕層,其令人驚異的較佳特質。 表1 通孔結構(開口,深度) BDEAS二氧化矽層 PECVD二氧化矽層 於通孔中之位置 厚度(nm) 階梯覆蓋率% 厚度(nm) 階梯覆蓋率% 頂部 600 100 2780 100 頂側(於通孔之口) 573 96 2075 75 側壁中央部 450 75 172 6 側壁底角部 276 46 87 3 側壁底部 300 50 86 3 儘管本發明的示例實施例顯示及描述,熟悉該項技術 者可設計出其他包含本發明且在本發明範圍中的實施 例。此外,用語“之下”、“之上”、“底部”、“頂部”、“向 上”、“向下”、“第一”及“第二”及其他相對性或位置性的 用語僅作為圖式中之示例實施例的參考,且可彼此互 換。因此,所附之申請專利範圍不應被限制於於此所述 用以說明本發明之較佳變化、材料或空間配置的描述。 【圖式簡單說明】 本發明之這些特徵、態樣及優點將藉由參考以上的說 明、附加的申請專利範圍及隨附的圖式(顯示本發明之例 子)而變得更易了解。然而,待了解的是,每一特徵皆可 30 201145392 使用於本發明中, 非僅使用於特定圖式之内容,且本 發明包含有這些特徵的結合。 E圖係於製造多層抗腐蝕堆疊時’基材於不 同製程階段之概要 J現圖’其包含:第1A圖,形成阻
抗層於基材;第1B 圖’曝露阻抗層至輻射的圖案;第 圖以微衫製程形成圖案化阻抗層,圖案化阻抗層 :、有彼此間隔之阻抗特徵結構丨帛〇圖,於製程區中, 沉積共形之二氣彳卜+ 夕層於圖案化阻抗層之阻抗特徵結構 及第1Ε圖,基材具有多層抗阻抗堆疊於其上; 第2Α至2G圖係於基材中製造矽通孔時,基材於不同 製程又之概要剖視圖,其包含:第2 Α圖,顯示圖案
化阻抗層於基材;帛⑼圖,㈣穿孔於碎平板;第2C 圖’儿積共形之二氧化矽層於穿孔;f 2D目,於矽平 板中蝕刻掉沉積於穿孔之底壁上的二氧化石夕;帛π圖, 於石夕平板中’沉積含金屬材料於穿孔中;帛2F圖,將 基材翻轉以化學機械拋光表面;及第2G圖,顯示有矽 通孔,具有含金屬材料之曝光接觸區域於穿孔中。 第3A圖係基材製程腔室之概圖,其係為化學氣相沉 積製程腔室; 第.3B圖係用於第2A圖之腔室中,氣體傳送系統的實 施例之側視圖,氣體傳送系統包含有蒸發器; 第3C圖係用於第2A圖之腔室中,氣體傳送系統的實 施例之側視圖’氣體傳送系統包含有起泡器; 第4圖係傅立葉轉換紅外線光譜圖,使用bdeas及 31 201145392 臭氧所沉積之二氧化碎層; W毯覆光阻層於基材上之 電漿生成氧製程及以臭氧製程而蝕:; 端 …〜你叨蝕刻,· 第6A圖係掃描式電子 _ ,, 顯不有於微影塑藉中 所形成之阻抗特徵結構的截面形狀; 第6B圖係掃描式電 ^ ‘肩微圖,顯示有沉積於阻抗4# 徵結構上之共形二氧化矽層;及 ㈣阻抗特 第7圖係穿透式電子 結構之二氧化石夕層; 第.8圖係掃描式電子 截面,TSV特徵結構係 截面顯示有頂部、穿孔 如圖中所標示;及 顯微圖,顯示有沉積於阻抗特徵 顯微圖,顯示有TSV特徵結構之 以BDEAS沉積有二氧化矽層, 的全部截面部、底部及中央部, 第9圖係掃描式電子顯微圖,顯示有TSV特徵結構之 截面’ tsv特徵結構係以pEcvD沉積有二氧化矽層, 截面顯不有穿孔之頂部、中央部及底角部及底部平坦 部’如圖中所標示。 【主要元件符號說明】 10 堆疊 12 基材 14 阻抗層 15 層 32 201145392 16 光阻層 18 輕射 19 轄射源 20 光罩 21 平板 22 孔 24 阻抗層 25 梦平板 26 阻抗特徵結構 27 載體 28 二氧化矽層 29 黏著層 30 頂表面 31 穿孔 32 側壁 34 間隙 35 阻抗特徵結構 36 矽蝕刻氣體 37 氧蝕刻氣體 38 表面/部分 39 側壁 40 製程腔室 40a 40b 製程腔室 201145392 41 底壁 42 製程區 42b 製程區 43 混合區 44a 電極 44b 電極 45 矽通孔/矽通孔特徵結構 47 含金屬材料 48 環繞壁 52 天花板 54 側壁 56 底壁 58 支撐 62 入口埠 64 基材傳送器 68 熱交換器 72 氣體分配器 73 氣體分歧管 74 面板 75 加熱器 76 氣體孔 7 8 a 入口 78b 入口 80a 氣體供應器 34 201145392 8 0 b 氣體供應器 82a 氣源 82b 氣源 84a 導管 84b 導管 86a 氣體閥 86b 氣體閥 90 排氣裝置 92 通道 94 排氣口 96 節流閥 98 排氣泵 102 控制器 104 電源供應器 200 氣體傳送系統 210 蒸發器 211 注入閥 213 液體入口 215 載器入口 217 出口 219 流孔 221 中央區 223 液體流量計 225 液態BDEAS源 35 201145392 227 接線 229 氣源 233 載氣源 239 質量流控制器 288 線 289 閥 290 閥 291 轉向閥 336 起泡器 337 容器 339 載氣源 3 45 入口管 346 出口管

Claims (1)

  1. 201145392 七、申請專利範圍: 種形成一多層抗腐蚀堆疊於—基材上之方法,該方法 包括以下步驟: (a)形成一圖案化阻抗層,該圖案化阻抗層具有複數個彼 此間隔之阻抗特徵結構;及 ()'儿積層—氧化石夕層於該圖案化阻抗層的該等阻抗 特徵結構上,包含以下步驟: (i)將具有該圖案化阻抗層之該基材置放於一製程 (η)將包含有BDEAS及一含氧氣體之一製程氣體引 入至該製程區。 2.如申請專利H圍第!項所述之方法,其中該等阻抗特徵 結構包括複數個頂表面、複數個側壁,及介於其間之複 數個間隙,且其中(b)步驟包括以下步驟 阻抗特徵結構之該等頂表面及側壁之一 驟:沉積覆蓋該等 一層二氧化矽層。 如申請專利範圍第2項所述之方法 下步驟:沉積霜篆玆笙阳拉牲娜以 ’其中(b)步驟包括以
    37 201145392 4. 如申請專利範圍第i項所述之方法,其中(b)步驟包括以 下步驟:將該基材溫度維持在低於7(TC。 5. 如申請專利範圍第1項所述之方法,其中(咐驟包括以 下步驟:將該基材溫度維持在約室溫。 6. 如申阳專利範圍第丨項所述之方法,其中該含氧氣體包 括臭氧。 7. 如申睛專利範圍第6項所述之方法,包括以下步驟:藉 由將氧氣通過一臭氧產生器而產生該臭氧。 8 ·如申请專利範圍第丨項所述之方法,包括以下步驟:藉 由將載氣流經一蒸發器以蒸發液態BDEAS而產生該 BDEAS。 9. 如申凊專利範圍第1項所述之方法,包括以下步驟:將 該BDEAS及含氧氣體經由複數個獨立的氣體導管引 進,使得該等氣體於位在該製程區上的一混合區立即混 合0 10. 如申請專利範圍第9項所述之方法,包括以下步驟:將 該混合區之溫度維持在至少9〇t。 38 201145392 11·如申請專利範圍第i項所述之方法,其中(a)步驟包括以 下步驟:形成包含有光阻之一阻抗層,將該光阻層曝露 至一光的圖案,及顯影曝露之該光阻層。 12. —種使用於一電子電路製造中之中間產物,該中間產物 包括: (a) —基材; (b) —圖案化阻抗層,係位於該基材上,該圖案化阻抗層 具有複數個間隔之阻抗特徵結構,該等阻抗特徵結構具有 複數個頂表面、複數個側壁,及介於該等阻抗特徵結構間 之複數個間隙;及 (c) 一層二氧化矽層,係共形於該圖案化阻抗層之該等阻 抗特徵結構,共形之該二氧化矽層以誤差小於5%之厚度覆 蓋该等阻抗特徵結構之該等頂表面及側壁。 13. 如申請專利範圍第12項所述之產物,其中該二氧化矽 層為一由BDEAS衍生之二氧化矽層。 14. 一種基材處理設備,用以將一層二氧化矽層沉積於一基 材上’該设備包括: U)製程腔室,包括有一基材支標件以接收一基材; (b) —臭氧產生器,具有產生臭氧之能力; (c) 一 BDEAS蒸發器,以產生BDEAS蒸氣;及 (d) —製程氣體分配器,包含一氣體分歧管,該氣體分歧 39 201145392 管具有-第-氣體導管以接收該BDEAS》氣及一第二氣 體導管以接收該臭氧’使得該BDEAS及該臭氧於位在該處 理區上的—混合區立即混合,並接著將該混合t BDEAS 及臭氧釋放入該處理區。 15.如申請專利範圍第M 闲印in項所述之没備,更包括一加熱器’ 以將該混合區加熱至至少9(rc之溫度。 16,種矽通孔製造方法,包括以下步驟: ⑷於-石夕平板中蝕刻複數個穿孔,該等穿孔包括複數個 侧壁及底壁;及 底 W沉積-層二氧切層於該等穿孔之該等側壁及 壁,包含以下步驟: 及 (i)提供具有複數個穿孔 之s亥石夕平板於一製程區中; (Π)將包含有BDEAS及一含盏名驶★ 在“々 3軋軋體之一製程氣體弓丨 入至該製程區,以將該二氣化石々思一 4 打 乳化矽層沉積於該等穿孔之該等 側壁及底壁上 17.如申請專利範圍第16項所述 甘占,、止 心万法,其中〇)步驟包括 以下步驟:蝕刻該等穿孔’使該等穿孔具有至少 之深寬比 約10 18·如申請專利範圍第16項所述之方法 其中該含氧氣體 40 201145392 包括臭氧。 19·如申請專利範圍第16項所述之方法,其中(b)步驟包括 以下步驟:提供包含有氦氣之一製程氣體以輸送該 BDEAS。 20.如申請專利範圍第16項所述之方法,包括以下步驟: 將該基材之溫度維持在低於200°C。 41
TW100119261A 2010-06-04 2011-06-01 Silicon dioxide layer deposited with BDEAS TW201145392A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/794,713 US8343881B2 (en) 2010-06-04 2010-06-04 Silicon dioxide layer deposited with BDEAS

Publications (1)

Publication Number Publication Date
TW201145392A true TW201145392A (en) 2011-12-16

Family

ID=45063831

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100119261A TW201145392A (en) 2010-06-04 2011-06-01 Silicon dioxide layer deposited with BDEAS

Country Status (6)

Country Link
US (1) US8343881B2 (zh)
JP (1) JP2013534046A (zh)
KR (1) KR101794810B1 (zh)
CN (1) CN102906304A (zh)
TW (1) TW201145392A (zh)
WO (1) WO2011153484A2 (zh)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524612B2 (en) * 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
WO2012112187A1 (en) * 2011-02-15 2012-08-23 Applied Materials, Inc. Method and apparatus for multizone plasma generation
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9128218B2 (en) * 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9245824B2 (en) 2013-04-18 2016-01-26 Globalfoundries Inc. Through-vias for wiring layers of semiconductor devices
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10176984B2 (en) 2017-02-14 2019-01-08 Lam Research Corporation Selective deposition of silicon oxide
JP6697411B2 (ja) * 2017-03-29 2020-05-20 キオクシア株式会社 半導体装置の製造方法
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP2019057634A (ja) * 2017-09-21 2019-04-11 東芝メモリ株式会社 半導体装置の製造方法
US10460930B2 (en) * 2017-11-22 2019-10-29 Lam Research Corporation Selective growth of SiO2 on dielectric surfaces in the presence of copper
JP2019204894A (ja) * 2018-05-24 2019-11-28 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
US11180849B2 (en) * 2018-09-03 2021-11-23 Applied Materials, Inc. Direct liquid injection system for thin film deposition
KR20210150606A (ko) 2019-05-01 2021-12-10 램 리써치 코포레이션 변조된 원자 층 증착
US11415441B2 (en) * 2020-09-17 2022-08-16 Micro Motion, Inc. Magnetic flowmeter composite flow tube liner

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
JP5461390B2 (ja) 2007-05-21 2014-04-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体用途のための新規金属前駆体
CN101680085B (zh) 2007-05-21 2012-12-05 乔治洛德方法研究和开发液化空气有限公司 用于半导体领域的钴前体
WO2008149987A1 (ja) 2007-06-07 2008-12-11 Tokyo Electron Limited パターニング方法
JP4589984B2 (ja) 2007-06-08 2010-12-01 東京エレクトロン株式会社 微細パターンの形成方法
KR101217778B1 (ko) 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
TWI471449B (zh) 2007-09-17 2015-02-01 Air Liquide 用於gst膜沈積之碲前驅物
CN101889331A (zh) 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
AU2009286657A1 (en) 2008-08-28 2010-03-04 Taminco Fatty ester compositions with improved oxidative stability
JP4638550B2 (ja) 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film

Also Published As

Publication number Publication date
CN102906304A (zh) 2013-01-30
JP2013534046A (ja) 2013-08-29
US20110298099A1 (en) 2011-12-08
US8343881B2 (en) 2013-01-01
WO2011153484A3 (en) 2012-03-01
KR20130135815A (ko) 2013-12-11
WO2011153484A2 (en) 2011-12-08
KR101794810B1 (ko) 2017-11-07

Similar Documents

Publication Publication Date Title
TW201145392A (en) Silicon dioxide layer deposited with BDEAS
TWI828961B (zh) 圖案化結構及其製造方法、沉積底層之方法及處理基板之設備
TWI746728B (zh) 半導體處理裝置
US10629429B2 (en) Selective deposition of silicon oxide
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
TW473870B (en) Integrated low K dielectrics and etch stops
TWI290346B (en) HDP-CVD multistep gapfill process
TWI317543B (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
JP2018164079A (ja) 窒化シリコンの選択的成長
TW201828339A (zh) 於半導體裝置製造中高品質氧化矽之低溫形成
JP2018152560A (ja) 触媒制御を用いる酸化シリコン上への窒化シリコンの選択的堆積
TW201220004A (en) Mask pattern forming method, fine pattern forming method, and film deposition apparatus
TW202115501A (zh) 光阻乾式沉積用設備
CN103119695A (zh) 共形膜的等离子体激活沉积
CN114730133A (zh) 利用用于高性能euv光致抗蚀剂的高euv吸收剂的衬底表面改性
TWI417960B (zh) 利用co/co基處理以灰化基板之低損害方法
KR20060128843A (ko) 기판에 재료를 성막하는 방법
TW201128705A (en) Method for reworking a silicon-containing ARC layer on a substrate
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
KR20060109429A (ko) 증착된 유전체막 위에 후현상 포토레지스트 프로파일을향상시키는 방법
TW201938832A (zh) 使用水解之選擇性沉積
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
TW202349460A (zh) 利用擴散阻障層的增強euv下層效應
TW202340879A (zh) 高吸收性含金屬光阻的顯影策略
CN113302716A (zh) 针对3d nand集成具有改善的蚀刻选择性的氮化物膜