TW201033398A - Improved substrate temperature control by using liquid controlled multizone substrate support - Google Patents
Improved substrate temperature control by using liquid controlled multizone substrate support Download PDFInfo
- Publication number
- TW201033398A TW201033398A TW098138394A TW98138394A TW201033398A TW 201033398 A TW201033398 A TW 201033398A TW 098138394 A TW098138394 A TW 098138394A TW 98138394 A TW98138394 A TW 98138394A TW 201033398 A TW201033398 A TW 201033398A
- Authority
- TW
- Taiwan
- Prior art keywords
- liquid
- flow
- temperature
- substrate
- heat transfer
- Prior art date
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/50—Substrate holders
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67248—Temperature monitoring
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/3471—Introduction of auxiliary energy into the plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/2001—Maintaining constant desired temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
Description
201033398 六、發明說明: 【發明所屬之技術領域】 本發明係關於基板溫度控制。 【先前技術】 、電漿處理設備係用以藉下述技術而處理基板:蝕刻、物理氣 相沈積法(PVD)、化學氣相沈積法(CVD)、離子佈植及光阻去 除。電漿處理中所用的電漿處理設備類型之一包括含有上及下電 ,的,,室。在該等電極中建立電場,以將處理氣體紐成電漿 ,而献應室中處理基板。因特徵尺寸的縮小及新材料的實❿ 施,茜要改善電漿處理設備以控制電漿處理的條件。 【發明内容】 r iL實?例中,提侧於電漿處理設備之反應室中的基板支 ί德括基底構件及覆蓋該基底構件的熱傳構件。該 及,其至少包括帶有第—流動通道的第一區 動ίΐί:,動通道的第二區,液體可經第—流動通道及第二流 ^循裱,以個別加熱及冷卻該熱傳構件的第一區及第二 二ίίίίί蓋著該熱傳構件。該靜電夾盤具有一支撐面,用 ϊί 2 反應室中支撐—基板。冷液源及熱液源係 度的 ⑤度在該ί體流經第-及第二流 由增加熱液對ί液的混合比i,而目=度’則藉 开N OIL經該弟一流動通道的液 201033398 體溫度;或(b)如果該第-區的溫度高於目標溫度,則藉由降低熱 液對冷液的混合賴,而降低流經該第―流動通道 ^地,,制第二區的溫紅⑻如果該第二區的溫度低於^溫 則藉由增加熱液對冷液的混合比例,而升高流經該第二流 巧的液體溫度;或(b)如果該第二區的溫度高於目標溫度,則藉 =低熱$對冷液的混合比例,而降低流經該第二流動通道的液 體溫度。每一區域内的方位角向溫差最好低於5。〇。 在另一實施例中,提供用於電漿處理設備之反應室中的基板 j該基板支座包括基底構件及覆蓋該基底構件的熱傳構件。 春 2 ΐ傳構件具有帶有第—流動通道的第—區及帶有第二流動通道 二區。該等流動通道適合於使液體循環,而個別加熱及冷卻 =:、、、傳構,的每一區域。第一共用管路係與第一流動通道成流體 笛^且弟一共用管路係與弟二流動通道成流體連通。第一閥與 pgr共用官路及來自熱液源的第一供應管路成流體連通。該第一 2土用以控制熱液自熱液源流經該第一共用管路的流量。第二閥 1、,了共用管路及來自冷液源的第二供應管路成流體連通。該第 係用以控制冷液自冷液源流經該第一共用管路的流量。第三 第二第—共用管路及來自熱液源的第一供應管路成流體連通。該 _ _二閥,用以控制熱液流經該第二共用管路的流量。第四閥與第 用管路及來自冷液源的第二供應管路成流體連通。該第四閥 ^以,,冷液流經該第二共用管路的流量。控制器係用以獨立 二,該第一閥及該第二閥,以調整第一流動通道中熱液對冷液 ψ ’見合比;及控制該第三閥及該第四閥,以調整第二流動通 熱液對冷液的第二混合比。靜電夾盤覆蓋著該熱傳構件。該 ―,失盤具有—支撐面’用以在該電漿處理設備之反應室中支撐 一基板。 支在另—實施例中,提供用於電漿處理設備之反應室中的基板 該i °該基板支座包括基底構件及覆蓋該基底構件的熱傳構件。 =i咎,件具有帶有第一流動通道的第一區及帶有第二流動通道 、一區。§亥等流動通道適合於使液體循環,以個別加熱及冷卻 201033398 该熱傳構件的每-區域。供應管 體連通。第-加孰元件m瓜動通運及液源成流 於在該液源流出的 =2第° 該第—加熱元件適合 埶κ動通道中循環之前,將該液體永 ίϋ溫度。第—傳輸管路係與第-流第; 加 動;Γί輸管路適合於使液體自該 二::熱:以在⑵元第-傳輸管路。該第 ^第二溫度。 熱ϋΓίΐί瓣。跑鍾蓋著該 反應室中支撐ίί有—支撐面,肋在該賴處理設備之 【實施方式】 強化電漿處理設針基板的電漿處理均勻度,最好控制 二搜;1沈,及域_之基板裸露表面處的溫度分布。在電 合/溫度tA/或該基板裸露表面處的化學反應速率的 t曰ίϊΐΐ ί醉和_獅比及異向性上狀不必要5 材料積處理(如cvd處理)中,基板上所沈積材料的 沈積速率及組成與雜於沈積躺會_地受紐溫度影塑。 圖1說明侧用的示紐半導體材料電漿處理設備1(^。 ΰΐί 包括含ϊ基板支座1G4的反應室脆,電漿處理期間 二古4上支禮著基板106。反應室102岐撐基板106的基 期門祕裝置,最好是靜電縫,其翻以於處理 』間在基板支座104上夾持基板丨〇6。 ,1,所示的示範性電漿處理設備⑽包括噴淋頭電極組件, 有形成反應室102之壁的頂板1〇8,及附於頂板1〇8的喷淋頭 ,極110。氣體供應器112經喷淋頭電極110供應處理氣體至反應 ^•102内部。喷淋頭電極11〇包括多重氣體通道114,其延伸貫 贺琳頭電極110的厚度,肋將處理氣體注人電漿反應室搬中 位於噴淋頭電極110及基板支座綱之間的空間。氣體供應器112 201033398 及外供鮮路’其赠11氣體供給配置方式供給喷淋頭 電極110的外部及内部區域。 …+處理氣體流經喷淋頭電極110而進入反應室102内部。接著, 藉著電源116A(例如RF源)驅動噴淋頭電極11〇,及/ , 至約 600 MH,(如2顺、13 56臟、6〇脈)之一或多^頻率的電 源 116B 以約 〇.3 至約 6〇〇 MHz (如 2 MHz、13.56 MHz、60 MHz) 的或夕種頻率驅動基板支座1〇4中的電極,在電漿處理設備loo 中^予該處理氣體能量,使其成電漿態。可改變喷淋頭電極11〇 所施加的RP功率,以執行不同的處理步驟,例如當供應不同氣體 參 組成至電漿處理設備100時。在另一實施例中,噴淋頭電極110 可接地。 ' 在一實施例中’可藉由自二RF源對喷淋頭電極11()及/或基 板支座104供應RF能量,而在電漿處理設備1〇〇内部產生電漿, 或噴淋頭電極11〇可電性接地,並可對基板支座1〇4供應單一或 多種頻率的RF能量。 在另一實施例中’如圖2所說明的,感應偶合電漿(ICP)處 理5又備200可用於藉由在低壓(即低於mT〇rf)下供應處理氣 體至真空室及對該氣體施加射頻(RJ7)能量,而在基板上行材料 的沈積(如電漿輔助化學氣相沈積法或PECVD)及電漿蝕刻。圖 ❿ 2係ICP電漿處理設備200之實施例的橫剖面視圖。;[Cp電漿處理 設備之例係 Lam Research Corporation(位在 California 的 Fremont) 所製造的TCP®姓刻或沈積系統。例如,在共同持有的美國專利第 4,948,458號中也描述了該ICP電漿處理設備,上述案的内容以引 用方式併於本文。反應室;202包括基板支座204,用以在反應室 202内支撐基板206。介電質窗208形成反應室202的上壁。經由 氣體分佈構件210將處理氣體注入反應室2〇2内部。氣體分佈構 件210的例子包括嘴淋頭、氣體注射器或其它合適的裝置。氣體 供應器212經由氣體分佈構件210供應處理氣體至反應室2〇2内 部。 一旦處理氣體注入反應室2〇2内部,藉由能量源216供應能 201033398 ,至反應室202内部,而給該處理氣體能量使其成為電漿態。能 量源216最好係RF源218A及RF阻抗匹配電路218B所供電的的 外部平面天線,以感應偶合RF能量至反應室202。RJF功率加至 ^面天線所產生的電磁場給處理氣體能量,以在基板2〇6上形成 咼密度(如101〇-1012離子/cm3)電漿P。 介電質窗208位於平面天線之下,且氣體分佈構件21〇位於 介電質窗208之下。在氣體分佈構件210及基板206之間產生電 漿P,用以基板206的沈積或钱刻。 於基板的電漿處理期間,電漿氣體的反應性離子化學地與半 導劈基板(如矽、砷化鎵或磷化銦晶圓)之面上的部分材料反^應, 而在該基板的中央及邊緣之間產生高達贼的溫差。局部的基板 ,度及該基板上每-關化學反應速耗有互相_的,如果該 基板整面的溫度差異太大,會產生該基板之面上的非均勻的材料 蝕巧或沈積。為減輕此狀況,背侧氣體冷卻系統已用於基板支座, 以提供該基板支座及絲板支座上所支撐的基板之間的熱傳。 基板支座已包括冷卻劑流動通道,以於處理期間自該 =除熱。在如此的冷卻系統中,在該冷卻嫩動通道中引入已 度及已設定體積流速的冷卻劑。基板支座在該冷卻系统中 ϊίϊι供f管Ϊ及一回流管路。然而,已確定當自該基板支i ΐίίϊΐ長度(自入σ至出口)出現顯著的溫度 加制基板支座與熱傳氣體及基板接觸之表面的 勻度。基板支座在該基板背侧處也 勻自該基板至該基板支座產生的熱傳已促=茲 對於關鍵尺寸均勻度的控制而言,改變整 央至邊緣的溫度分布(即徑向溫度分布)多達’ 位角向(即角度或圓周方向)溫度均勻f 悚最佳的處理需要徑向財= 數關係)造成的非均勻性。例如,於薄膜堆疊或 201033398 極氧化物/多晶矽/石夕化物/硬遮層/抗反射塗層堆疊)的蝕刻期間, 一層的蝕刻可能需要中央區較邊緣區熱,反之另一層的蝕刻可能 需要中央區較邊緣區冷。因此,需要基板支座具有實現之方 位角向溫度均勻度的能力,及改變整塊晶圓或基板之中央至邊緣 的溫度分布多達40°C的能力。方位角向溫度均勻度最好$ i〇c ; 且更好的是,方位角向溫度均勻度S〇.50C。 圖3說明基板支座300之一實施例的橫剖面視圖。基板326 提供更有效地控制中央至邊緣溫度分布的能力,其可針對高達 4〇°C的中央至邊緣溫度分布漸進變化,同時維持之方位角向 _ 溫度均勻度。基板支座300包括基底構件310、覆蓋基底構件310 的熱傳構件320及覆蓋熱傳構件320的靜電夾盤322。靜電夾盤 322包括支撐基板326的支撐面324。例如,在共同持有的美國專 利第5,838,529號中也描述了如此的靜電夾盤,上述案的内容以引 用方式併於本文。 熱傳構件320更分成同心狀多重區域328A-328E。每一區域 包含一或多個流動通道330A-330E,液體可經其循環以個別加熱 及冷卻熱傳構件320的每一區域328A-328E。藉由使熱液經流^ 通道330A-330E擔ϊ辰而實現基板支座300的加熱,從而消除熱傳 構件320中嵌入加熱元件(電阻性加熱器或加熱帶)的需求。液 體可為水(如去離子水)、乙二醇、碎油、水/乙二醇混合物、 FLUOROINERT®冷煤(即 Minnesota Mining and Manufketuring 0Μ;)
Company所售的全氟碳化物冷卻液)、GALDEN©流體(即s〇lvay
Solexis所售的低分子量全氟聚醚熱傳流體)等等。儘管圖3中說 明了五個區域,吾人了解到,區域數目可為二或多個,取決於所 需的温控程度。 ^在圖3的實施例中’熱傳構件320可由熱傳導材料(如銘或 II化銘)組成。為了改善控向熱傳(即個別區域間的熱傳)的控 制與實現整塊基板所需的溫度分布,隔熱層332分隔了每一區域 328A-328E。隔熱層332可延伸穿過熱傳構件32〇的整個厚度(如 圖3所說明的)或穿過熱傳構件320的部分厚度(如圖4所說明 201033398 的)。隔熱層332可為未填充(即中空體)或包含填充材料, 4'° W/m"K ° 虱树知或矽酮。可使用下述添加劑調整該填充材料 數:氮化硼、氮化銘、氧化銘、氧化石夕及石夕。 ...... 在另一實施例中,如圖5所說明的,藉由絕熱材料組 傳構件320控制徑向熱傳。絕熱材料的例子包括陶变(如氧化& 或氧化釔)或帶有低熱導係數的金屬合金(如不鏽鋼)。 % 如圖3所說明的’熱傳構件32〇及基底構件31〇之間插 5材料3M。結合材料别可由環氧樹脂或石夕酮組成,如放大區I ,說明的’可以-或多種填充材料334A填充結合材料334。示 性填充材料334A可包括氧化|s、|^聲氧化♦、喊碎。在另 —實施例中,如放大區B所說明的,結合材料可為金屬硬焊334b。 可選擇結合材料334以提供約G.l W/m-K至約4.G W/m_K的埶導 係數’及具有約1 mil至約200 mils的厚度。 圖6說明圓盤狀熱傳構件32〇的截面平面圖,其取自於圖3 的截面線C-C’。從圖6巾’區域328A_328E係同心地排列在相對 於圓盤中心的不同距離處,而流動通道33〇A_33〇E具有螺旋狀圖 案。隔熱層332係分隔每一區域的環狀通道。 圖7說明熱傳構件320的部份橫剖面視圖,其包括熱液源336 及冷液源338 ’兩源都與流動通道33〇A_33〇E成流體連通。隔熱❿ 層332分隔區域328A-328E。閥裝置340係用以藉由調整熱液(g 自熱液源336)對冷液(來自冷液源338)的混合比,而控制每一 區域328A-328E中個別的溫度。控制器342接收來自每一區域 328A-328E之溫度感測器344A-344E的輸入訊號,以獨立地引導 閥裝置340调整熱液對冷液的合適混合比。在另一實施例中,每 —區328A-328E的溫度感測器可被嵌入靜電夹盤322中。 於電漿處理期間,基板支座3〇〇上支撐著基板326,基板326 係與區域328A-328E熱接觸。液體流經對應區域328A_328E的流 動通道330A-330E。以溫度感測器344A_344E量測每一個別區域 328A-328E的溫度,其提供輸入訊號予控制器342。①如果區域 10 201033398 328A-328E的溫度低於目標溫度,控制器342可藉由增加熱液對 冷液的混合比’而提高流經每一個別流動通道330A-330E之液體 的溫度,或⑼如果區域328A-328E的溫度高於目標溫度,控制器 342可藉由降低熱液對冷液的混合比,而降低流經每一個別流動通 道330A-330E之液體的溫度。於電漿處理期間,帶有熱傳構件32〇 及控制器342的基板支座300在單一晶圓的電漿處理期間,提供 獨立地且動態地改變區域328A-328E之溫度的能力。 圖8A說明熱傳構件420之另一實施例的橫剖面視圖。其包括 區域428A-428E ’每一區域具有各自的流動通道430A-430E及溫 度感測器444A-444E。隔熱層432分隔了區域428A-428E。熱液源 436及冷液源438經共用管路450A-450E、閥425A-452E,、第一 供應管路454及第二供應管路456而與流動通道430A-430E成流 體連通。第一至第五閥425A-452E係與共用管路450A-450E及第 一供應管路454成流體連通,其供應來自熱液源436的熱液。此 外’第六至第十閥452A’-452E,也與共用管路450A-450E及第二供 應管路456成流體連通’其供應來自冷液源438的冷液。 控制器442接收來自溫度感測器444A-444E的輸入訊號,以 獨立地控制閥425A-452E及452A’-452E’,用以各自在每一流動通 迢調整來自熱液源436的熱液對來自冷液源438的冷液的混合 ❹ 比。例如’控制器442可控制①第一閥452A及第二閥452A,,以 調整流經共用管路450A至流動通道430A之熱液對冷液的混合 比,(ii)第二閥452B及第四闊452B’ ’以調整流經共用管路450B 至流動通道430B之熱液對冷液的混合比;(出)第五閥452C及第六 閥452C’,以調整流經共用管路450C至流動通道430C之熱液對 冷液的混合比;(iv)第七閥452D及第八閥452D,,以調整流經共 用管路450D至流動通道430D之熱液對冷液的混合比;及(v)第九 閥452E及第十閥452E’,以調整流經共用管路45〇E至流動通道 430E之熱液對冷液的混合比。 圖8A實施例提供於電漿處理期間,藉由控制每一個別區域 428A-428E之溫度’而沿著基板426的半徑單調地(即溫度連續 11 201033398 地升高或降低)或非單調地升高或降低溫度的能力。例如,可設 疋每一個別區域428A-428E中的溫度,俾使徑向溫度分布成抛物 線的或反抛物線的(即單調的)。然而,在另一例子中,因為可個 別控制每一區域428A-428E中的溫度,故也可設定徑向溫度分 布’俾使該徑向溫度分布為正弦的(即非單調的)。 如圖8B所說明的,流動通道430A-430E係與回流管路446 ,流體連通,其係與熱液源436及/或冷液源438成流體連通。可 藉使流出流動通道430A-430E的液體回至熱液源436及/或冷液源 438而回收該液體。 熱液源436使該熱液維持在約40°C至約150〇C的溫度;冷液 源438使該冷液維持在約_10cC至約7〇〇c的溫度。因此,取決於⑮ 電漿處理期間所需之中央至邊緣的溫度分布,圖8A與8B的實施 例具有在每一區域428A-428E中實現五種不同溫度的能力。儘管 圖8A與8B說明了五個區域,吾人了解到,區域數目可為二或多 個,取決於所需之徑向溫度分布控制的程度。在一例子中,冷液 源使該冷液維持在2 _l〇〇C的溫度;且熱液源使該熱液維持在 S150°C的溫度,其中該熱液溫度高於該冷液溫度。 圖9說明熱傳構件520之另一實施例的部份橫剖面視圖,其 包括區域528A-528E,每一區域具有各自的流動通道53〇a_53〇e 及溫度感測器544A-544E。隔熱層532分隔了區域528A-528E。液❹ 源=36係與供應管路550、第一至第四傳輸管路552A-552D及回 流管路554成流體連通。沿著供應管路55〇設置第一加熱元件 538A ;且沿著第一至第四傳輸管路552A_552D設置第二至第五加 熱,件538B-538E。第一至第五加熱元件538A_538E控制流經供 應管路550及第一至第四傳輸管路552A_552D之液體的溫度。 控制器542接收來自溫度感測器544A_544E的輸入訊號,以 獨立地控制加熱元件538A-538E。如果溫度感測器544A-544E所 測得的溫度低於目標溫度,控制器542則啟動一或多個合適的加 熱ί件。在來自液源536的液體於第一流動通道530A 循環之前,第一加熱元件538A使該液體加熱至第一溫度。第一傳 12 201033398 輸管路552A使液體自第一流動通道530A流至第二流動通道 530B ;且在沿著第一傳輸管路552A流動的液體於第二流動通道 Μ0Β循環之前’第二加熱元件538A使該液體加熱至第二溫度。 第二傳輸管路552B使液體自第二流動通道530B流至第三流動通 道530C ^且在沿著第二傳輸管路552B流動的液體於第三流動通 道5=C循環之前,第三加熱元件538A使該液體加熱至第三溫 度。弟二傳輸管路552C使液體自第三流動通道530C流至第四流 動通道530D ;且在沿著第三傳輸管路552C流動的液體於第四流 動通道530D循環之前,第四加熱元件538D使該液體加熱至第四 溫度。第四傳輸管路552D使液體自第四流動通道530D流至第五 流動通$ 530E ;且在沿著第四傳輸管路552D流動的液體於第五 流,通這530E循環之前,第五加熱元件538E使該液體加熱至第 五溫度。流出第五流動通道的液體沿著回流管路554回至 536。 叮、 ^流經第一至第四傳輸管路552A-552D的液體可依順向(如圈 示)、流動或依逆向(圖9未顯示)流動。於流體依順卢 =動篇 1,第-溫度係低於第二溫度,第二溫度低於第三溫度, $^度低於第四溫度’導致區域528Ε (即中央區)的溫度最高 同’於流體依逆向流動賴’第—溫度係高於f二溫度 =於第三溫度,第三溫度高於第四溫度,導致 ^ 邊緣區)的溫最高。 β丨 的實施例提供於電衆處理期間沿著基板326之徑向單朝 的^或,低溫度的能力。例如,可設定每一個別區域528Α-528°ί 的俾使徑肖溫度分布成抛物線的或反抛物線的(即單調 式人ϊίί處理(如半導體、金屬或介電質的電漿_,·或導f ^丨】古料的沈積)期間,帶有熱傳構件32G/42G/52()的基板支店 此的熱傳構株—_)=位角向溫度均勻度的能力。此外,如 门變化的/皿度刀布(如熱的邊緣或熱的中央),兩者有助於電 13 201033398 漿處理期間之漸進變化的溫度控制,以優化多層別的處理。圖1〇 針對電漿處理期間利用熱傳構件320/420/520的三種示範性令央至 邊緣溫度分布,說明徑向溫度與晶圓(半徑R)上之徑向位置的 函數關係:(A)中央區較邊緣區熱;(B)中央區較邊緣區冷;及 整個晶圓上均勻的溫度分布。 儘管已參照本文特定實施例詳細地描述本發明,對於熟悉本 技藝者,明顯的是,在不脫離附加之請求項的範疇下當可^ 變化及修正與等價動作。 【圖式簡單說明】 圖1係電漿處理設備之示範實施例的橫剖面視圖。 圖2係感應偶合電漿設備的橫剖面視圖。 圖3係基板支座之一實施例的橫剖面視圖。 …f 4係係基板支座之額外實施例的橫剖面視圖, 牙過熱傳構件之部分厚度的隔熱層。 申 圖5係基板支座無隔熱層之額外實施例的橫剖面視固 =6係圖3之支絲自於飾線c_c,的截面平面圖y 圖7係熱傳構件之一實施例的部份橫 源、熱液源、曝置及控繼。 即其包括冷液 圖雜傳構件之另—實關的部份橫剖面 液源、熱液源、閥裝置及控制器。 〃匕括冷 、圖8B係圖8A之熱傳構件實施例的部份橫 冷液源及/或熱液源的回流管路。 圖,,、匕括 圖9係熱傳構件之另一實施例的部份 源、加熱元件及傳輸管路。 、見圖,其包括液 溫度分布 =〇說明賴處理_半導體基板I種轉性中央至 邊緣 【主要元件符號說明】 100電漿處理設備 14 201033398 102反應室 104基板支座 106基板 108頂板 110喷淋頭電極 112 氣體供應器 114氣體通道 116A電源 116B電源
200感應偶合電漿(ICP)處理設備 202反應室 204基板支座 206基板 208介電質窗 210氣體分佈構件 212氣體供應器 216能量源 218ARF 源 218B RF阻抗匹配電路 300基板支座 310基底構件 320熱傳構件 322靜電夾盤 324支撐面 326基板 328A-328E 區域 330A-330E流動通道 332隔熱層 334結合材料 334A填充材料 15 201033398 334B金屬硬焊 336熱液源 338 冷液源 340 閥裝置 342控制器 344A-344E溫度感測器 420熱傳構件 426基板 428A-428E 區域 430A-430E流動通道 432隔熱層 436熱液源 438 冷液源 442控制器 444A-444E溫度感測器 446回流管路 450A-450E共用管路 452A-452E 閥 452AM52E,閥 454第一供應管路 456第二供應管路 520熱傳構件 528A-528E 區域 530A-530E流動通道 532隔熱層 536 液源 538A-538E加熱元件 542控制器 544A-544E溫度感測器 550供應管路 201033398 552A-552D傳輸管路 554回流管路 A放大區 B放大區 C-C’截面線 P電漿
Claims (1)
- 201033398 七、申請專利範圍: 1.種用於一電漿處理設備之一反應室中的基板支座,該基板支 座包括: 一基底構件; ^ 一熱傳構件,覆蓋著該基底構件,該熱傳構件具有多重區域, 忒夕重區域至少包括帶有第一流動通道的第一區及帶有第二流動 通3ί的第二區,一液體可經該第一流動通道及該第二流動通道而 循環,以個別加熱及冷卻該熱傳構件的該第一區及第二區; 一靜電夾盤,覆蓋著該熱傳構件,該靜電夾盤具有一支撐面, 用以在該電漿處理設備之反應室中支撐一基板; 一冷液源及一熱液源,與該第一及第二流動通道成流體連通;參 、一閥裝置,用以藉由調整在該第一及第二流動通道中循環之 熱液對冷液的一混合比例,而獨立地控制該第一及第二區中之液 體的溫度;及 、一控制器,控制著該閥裝置,以藉由調整在該第一及第二流 動通道中該熱液對該冷液的混合比例,而獨立地控制該第一及第 二區中的溫度。 2·如申請專利範圍第1項之用於一電漿處理設備之一反應室中的 基板支座,更包括: _ 第一溫度感測器,位在該第一區中,與第二溫度感測器,位 在該第二區中,該等溫度感測器適合於量測該第一及第二區中的 溫度並提供輸入訊號予該控制器·; 一隔熱層,分隔該第一及第二區;及 ,一結合材料,位於該熱傳構件及該基底構件之間,該結合材 料具有約0·1 W/m_K至約4.0 W/m-K的熱導係數,及約1 mil至約 200 mils的厚度。 3.如申請專利範圍第1項之用於一電漿處理設備之一反應室中的 基板支座,其中該冷液源使該冷液維持在》_l〇〇C的溫度;且該熱 18 201033398 =使該,、、、液維持在少代的溫度’其中該熱液溫度高於該冷液 4.如申清專利範圍第2項之用财__^ ^ . 基板支座,其中該熱傳構件係」觀備之一反應室中的 ❹ 基板支座專其 漿,備之-2 J中的 =τ_κ 至約― 室中的 或 ΐΐηΐί ί:、?、之用於-電漿處理設備之-反應” 該環狀通^細整個厚度; ^如,請專利範圍第2項之用於—電漿處理設備之—反 ί多栌i亦i:該結合材料係由矽酮或環氧樹脂組成,Z包含-或石夕r或雜合材氮_、氧切、銘 申Γί 1項之祕—電漿處理賴之—反應室中的 ί、由糊====祕金心或該熱傳構件 i 電漿處理朗控制—半導體基板之方位角向溫度的方 基板之基板支座上支撐著該基板,其中該 19 201033398 使該液體流經該第—及第二流動通道; 的度,且如果該第—區的溫度低於該第-區 液體的溫度;或如果該第—區的温 經該第-驗通叙㈣的溫度;及 而降低机 溫度,且如果該第二區的溫度低於該第二 區 藉由增加該熱液對該冷液的混合比例、升高 ?ΐΓί,由降低該熱液對該冷液的混合比例:ί;? 經該第二流動通道之液體的溫度; j咁降低伽· 其中每一區域内之方位角向溫差係少於5£>c。 體基板 10.如申請專利範圍第9項之於賴處理期間控制 之方位角向溫度的方法’其中在整個該多重 的邊緣區域熱,或該i板 11 Q .如申請專利顧第9項之於電槳處理_ 之方位角向溫度的方法,其中該第—區的該 基板 的該目標溫度係:⑷沿著基板_單調地升高j 該基板半徑非單調地升高或降低。 ’低’⑼或>口者 12.如申請專利範圍第9項之於電漿處理_ 之方位角向溫度的方法,更包括: 平導體基板 將一處理氣體引入該反應室中; 給予該處理氣體能量,使其成一電聚辦.及 以該電漿處理該基板,其中以該電漿^該基板包括:⑻電 20 201033398 =彻㈣,物材料之層 13. —種包括申請專利篇图结, 設備,其中該電漿處理之半導體基板支座的電漿處理 料的-電漿侧係適合於侧半導體、金屬或介電材 歲適合於沈積導電或介電材料的一沈積室。 座包括種用於電裝處理設備之一反應室中的基板支座,該基板支 一基底構件; 流動通S25:區基if件’該熱傳構件具有帶有第-一區; 成體循%,而個別地加熱及冷卻該熱傳構件的每 ί ,與該第-流動通道成流體連通; 笛一二^路,與該弟二流動通道成流體連通; ❹ :或(b)導電或介電材料 成流體連通來自—熱液源的第—供應管路 管路的流量;弟閥用控制熱液自該熱液源流經該第一共用 成流第—共用管路及來自—冷液源的第二供應管路 管路的流量;。一閥用以控制冷液自該冷液源流經該第一共用 路成i體連通,及來自該熱液源的該第一供應管 流量; Μ第二閥用以控制該熱液流經該第二共用管路的 共用管路及來自該冷__第二供應管 流量;趾l k第四閥用以控制該冷液流經該第二共用管路的 一控制器,用以獨立地控制: ()該第閥及该第二閥,以調整該第一流動通道中該熱 21 201033398 液對該冷液的弟一混合比例;及 (b)該第二閥及該第四閥’㈣整該第二 液對該冷液的第二混合比例;及、I中該熱 :靜電夾盤,覆蓋著該熱傳構件,該靜電夾盤具 用以在該電漿處理設備之反應室中支撐—基板。 叉撐面, 如申明專利㈣第14項之用於—電聚處理 的基板支座,更包括: < 反應至中 該熱傳構件具有帶有第三流動通道的 通道的第四區及帶有第五流動通道的第五區厂 有第四V動 第二共用管路,與該第三流動通道成流體連通; 第四共用管路,與該第四流動通道成流體連通; 第五共用官路’與該第五流動通道成流體連通; 敗士^閥’與該第二共用管路及來自該熱液源的該第-供庫总 流^體連通,該第五閥用以控制該熱液流經該第三共“ ❹ί^Ι’與該第三共时路及來自該冷液源的該第二供應总 流體連通,该第六_以控制該冷液流經該第三共用ϋ二 〇 第七閥,與該第四共用管路及來自該熱液源的該第 2流體連通’該第七閥用以控制該熱液流經該第四共用 第八閥,與該苐四共用管路及來自該冷液源的該第二供雍总 2流體連通’該第八閥用以控制該冷液流經該第四^管^ 抑第九閥,與該第五共用管路及來自該熱液源的該第一 g流體連通,鄕九_以控舰酿流經鱗五制管^ h第十闕’與該第五共用|路及來自該冷液源的該第二供膺您 成流體連通’該第十卿以控制該冷液流經該第五共用管 22 201033398 流量 該控制器,更用以獨立地控制: ’以難該第三祕通道中該熱 夜對該冷液的弟三混合比例; 糊整料喊動通道中該熱 夜對該冷液的第四混合比例;及 十閥’以調整該第五流動通道中該熱 液對該冷液的第五混合比例。 參 ❿ 1 的6美l4項之祕—電漿處職備之—反應室中 =基板支座,其中該熱傳構件係一圓盤;且 在相對於該圓盤之中心的不同徑向距離處。、〜、… 17J申請專利範圍第16項之用於—電裝處理設備之—反應室中 的基板支座,其中該第一流動通道、該第二枝 镇二泣 U四及該第五流動通道ΐ與—i流ί路5 體連通,且細4_與賴及域該冷賴錢體連通。 &反應室中的基板支座,該基板支 一基底構件; 熱傳構件’覆盍著該基底構件,該熱傳構件具有帶有第一 J動,道的第-區及帶有第二流動通道的第二區,其中該等流動 ,遏係適合於使一液體循環,而個別地加熱及冷卻該埶傳構件的 每一區; 一供應官路,與该第一流動通道及—液源成流體連通; 第-加熱元件’沿著該供應管路,該第一加熱元件適合於在 該液源流出的液體於第該一流動通道中猶環之前,將該液體加埶 至第一溫度; … 第-傳輸管路,與該第一流動通道及該第二流動通道成流體 23 201033398 Si;傳輸管路適合於使該液體自,一流動通道流至該 於在鄉二加熱元件適合 溫度; 衣之剛,將該液體加熱至第二 控制器,控制每一加埶元件, 功率而獨立地控制每一區域藉由調整每一加熱元件的 一靜電夾盤,覆蓋著該熱傳^^件, 用以在該電漿處理設備之反應室中支^二^夾盤具有一支撐面, 1 的9基如板 18項之略―電麵觀備卜反應室中 該熱傳構件具有帶有第三流動 卜 通道的第四區及帶有第五流動通道五$二區 '帶有細流動 第二傳輸管路,與該第二流動:二 、 連通,該第二傳輸管路適合於使Uf弟三k動通道成流體 三流動通道.; 、σ 體自轉二流騎道流至該第 第三加熱元件,沿著該第_ 於在該液體於第該三流動通該第三加熱元件適合 溫度; 之刚,將該液體加熱至第三 ❹ 第三傳輸管路,與該第二奋 連通,該第三傳輸管路適合於使該四流動通道成流體 第四流動通道; DX體自°亥弟二流動通道流至該 於在件’沿著該第三傳輸管路’該第四加埶元件適人 2献體於賴四流動通道中魏之前’將:液二:釔 連通第=管五=通道成流體 第五流動通道; U彳H嫌自知喊動通道流至該 第五加熱树,沿辆細傳鮮路,該第五加熱讀適合 24 201033398 於在該液體於第該五流動通道中循環之前,將該液體加熱至第五 溫度;及 &一回流管路,與該第五流動通道及該液源成流體連通,該回 流管路適合於使該液體自該第五流動通道流至該液源。 請專利範圍第18項之用於—電漿處舰備之—反應室中 嘀i板i座’在每一區域中更包括一溫度感測器’該溫度感測器 測每一區域的溫度,並供應輸入訊號予該控制器;或其 :弟一傳輸管路適合於使該液體自該 流動通道順向或逆向 • 地流至該第二流動通道。 八、圖式: 25
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/292,081 US20100116788A1 (en) | 2008-11-12 | 2008-11-12 | Substrate temperature control by using liquid controlled multizone substrate support |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201033398A true TW201033398A (en) | 2010-09-16 |
TWI546408B TWI546408B (zh) | 2016-08-21 |
Family
ID=42164256
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098138394A TWI546408B (zh) | 2008-11-12 | 2009-11-12 | 藉由液體控制之多區基板支座而改善基板溫度控制 |
TW105114077A TWI589719B (zh) | 2008-11-12 | 2009-11-12 | 藉由液體控制之多區基板支座而改善基板溫度控制 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105114077A TWI589719B (zh) | 2008-11-12 | 2009-11-12 | 藉由液體控制之多區基板支座而改善基板溫度控制 |
Country Status (7)
Country | Link |
---|---|
US (1) | US20100116788A1 (zh) |
EP (1) | EP2366039A4 (zh) |
JP (1) | JP5546552B2 (zh) |
KR (2) | KR20160141873A (zh) |
CN (2) | CN105603376A (zh) |
TW (2) | TWI546408B (zh) |
WO (1) | WO2010055441A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI776424B (zh) * | 2020-03-18 | 2022-09-01 | 日商科理克股份有限公司 | 溫度控制系統 |
Families Citing this family (178)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8021521B2 (en) * | 2005-10-20 | 2011-09-20 | Applied Materials, Inc. | Method for agile workpiece temperature control in a plasma reactor using a thermal model |
CN101921987A (zh) * | 2009-06-10 | 2010-12-22 | 鸿富锦精密工业(深圳)有限公司 | 溅镀镀膜装置 |
US8637794B2 (en) | 2009-10-21 | 2014-01-28 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
US9111729B2 (en) | 2009-12-03 | 2015-08-18 | Lam Research Corporation | Small plasma chamber systems and methods |
KR101841378B1 (ko) * | 2009-12-15 | 2018-03-22 | 램 리써치 코포레이션 | Cd 균일성을 향상시키기 위한 기판 온도의 조절 |
US9338871B2 (en) * | 2010-01-29 | 2016-05-10 | Applied Materials, Inc. | Feedforward temperature control for plasma processing apparatus |
US8916793B2 (en) | 2010-06-08 | 2014-12-23 | Applied Materials, Inc. | Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow |
US9190289B2 (en) | 2010-02-26 | 2015-11-17 | Lam Research Corporation | System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8880227B2 (en) | 2010-05-27 | 2014-11-04 | Applied Materials, Inc. | Component temperature control by coolant flow control and heater duty cycle control |
US8608852B2 (en) * | 2010-06-11 | 2013-12-17 | Applied Materials, Inc. | Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies |
US9155181B2 (en) | 2010-08-06 | 2015-10-06 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9967965B2 (en) | 2010-08-06 | 2018-05-08 | Lam Research Corporation | Distributed, concentric multi-zone plasma source systems, methods and apparatus |
US9449793B2 (en) | 2010-08-06 | 2016-09-20 | Lam Research Corporation | Systems, methods and apparatus for choked flow element extraction |
US8999104B2 (en) | 2010-08-06 | 2015-04-07 | Lam Research Corporation | Systems, methods and apparatus for separate plasma source control |
US8501283B2 (en) * | 2010-10-19 | 2013-08-06 | Lam Research Corporation | Methods for depositing bevel protective film |
US8791392B2 (en) | 2010-10-22 | 2014-07-29 | Lam Research Corporation | Methods of fault detection for multiplexed heater array |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9307578B2 (en) | 2011-08-17 | 2016-04-05 | Lam Research Corporation | System and method for monitoring temperatures of and controlling multiplexed heater array |
TWI495757B (zh) * | 2011-09-16 | 2015-08-11 | Kern Energy Entpr Co Ltd | 薄膜製程設備及其製作流程 |
US10388493B2 (en) | 2011-09-16 | 2019-08-20 | Lam Research Corporation | Component of a substrate support assembly producing localized magnetic fields |
US10274270B2 (en) | 2011-10-27 | 2019-04-30 | Applied Materials, Inc. | Dual zone common catch heat exchanger/chiller |
US10256123B2 (en) * | 2011-10-27 | 2019-04-09 | Applied Materials, Inc. | Component temperature control using a combination of proportional control valves and pulsed valves |
US9177762B2 (en) | 2011-11-16 | 2015-11-03 | Lam Research Corporation | System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing |
US10283325B2 (en) | 2012-10-10 | 2019-05-07 | Lam Research Corporation | Distributed multi-zone plasma source systems, methods and apparatus |
US9083182B2 (en) | 2011-11-21 | 2015-07-14 | Lam Research Corporation | Bypass capacitors for high voltage bias power in the mid frequency RF range |
US9508530B2 (en) | 2011-11-21 | 2016-11-29 | Lam Research Corporation | Plasma processing chamber with flexible symmetric RF return strap |
US8872525B2 (en) | 2011-11-21 | 2014-10-28 | Lam Research Corporation | System, method and apparatus for detecting DC bias in a plasma processing chamber |
US10586686B2 (en) | 2011-11-22 | 2020-03-10 | Law Research Corporation | Peripheral RF feed and symmetric RF return for symmetric RF delivery |
US9263240B2 (en) | 2011-11-22 | 2016-02-16 | Lam Research Corporation | Dual zone temperature control of upper electrodes |
US9396908B2 (en) | 2011-11-22 | 2016-07-19 | Lam Research Corporation | Systems and methods for controlling a plasma edge region |
US8898889B2 (en) | 2011-11-22 | 2014-12-02 | Lam Research Corporation | Chuck assembly for plasma processing |
KR102031393B1 (ko) * | 2011-11-23 | 2019-10-11 | 램 리써치 코포레이션 | 상부 전극들의 이중 존 온도 제어 |
WO2013078098A1 (en) * | 2011-11-23 | 2013-05-30 | Lam Research Corporation | Multi zone gas injection upper electrode system |
CN103205717B (zh) * | 2012-01-13 | 2016-12-21 | 鸿富锦精密工业(深圳)有限公司 | 盖体 |
US9324589B2 (en) | 2012-02-28 | 2016-04-26 | Lam Research Corporation | Multiplexed heater array using AC drive for semiconductor processing |
US8809747B2 (en) | 2012-04-13 | 2014-08-19 | Lam Research Corporation | Current peak spreading schemes for multiplexed heated array |
KR20150013627A (ko) * | 2012-04-26 | 2015-02-05 | 어플라이드 머티어리얼스, 인코포레이티드 | Esc 본딩 접착제 부식을 방지하기 위한 방법들 및 장치 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9631273B2 (en) * | 2012-07-25 | 2017-04-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus for dielectric deposition process |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9018022B2 (en) | 2012-09-24 | 2015-04-28 | Lam Research Corporation | Showerhead electrode assembly in a capacitively coupled plasma processing apparatus |
US9157730B2 (en) * | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
US10049948B2 (en) | 2012-11-30 | 2018-08-14 | Lam Research Corporation | Power switching system for ESC with array of thermal control elements |
US9916998B2 (en) | 2012-12-04 | 2018-03-13 | Applied Materials, Inc. | Substrate support assembly having a plasma resistant protective layer |
US9685356B2 (en) | 2012-12-11 | 2017-06-20 | Applied Materials, Inc. | Substrate support assembly having metal bonded protective layer |
CN103898449B (zh) * | 2012-12-27 | 2017-06-06 | 北京北方微电子基地设备工艺研究中心有限责任公司 | 用于调节托盘温度的腔室及半导体加工设备 |
US9358702B2 (en) | 2013-01-18 | 2016-06-07 | Applied Materials, Inc. | Temperature management of aluminium nitride electrostatic chuck |
US8970114B2 (en) * | 2013-02-01 | 2015-03-03 | Lam Research Corporation | Temperature controlled window of a plasma processing chamber component |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9669653B2 (en) | 2013-03-14 | 2017-06-06 | Applied Materials, Inc. | Electrostatic chuck refurbishment |
US9887121B2 (en) | 2013-04-26 | 2018-02-06 | Applied Materials, Inc. | Protective cover for electrostatic chuck |
US9666466B2 (en) * | 2013-05-07 | 2017-05-30 | Applied Materials, Inc. | Electrostatic chuck having thermally isolated zones with minimal crosstalk |
US9677177B2 (en) | 2013-10-24 | 2017-06-13 | Applied Materials, Inc. | Substrate support with quadrants |
US9520315B2 (en) * | 2013-12-31 | 2016-12-13 | Applied Materials, Inc. | Electrostatic chuck with internal flow adjustments for improved temperature distribution |
US9622375B2 (en) | 2013-12-31 | 2017-04-11 | Applied Materials, Inc. | Electrostatic chuck with external flow adjustments for improved temperature distribution |
CN103792974B (zh) * | 2014-01-22 | 2015-12-02 | 清华大学 | 一种可快速精细调节温度场空间分布的加热盘及控制方法 |
CN103757608B (zh) * | 2014-01-22 | 2016-05-11 | 清华大学 | 一种用于调节温度和功率空间分布的梯度阻抗模块 |
CN103792842B (zh) * | 2014-01-22 | 2016-08-17 | 清华大学 | 一种可用于功率场空间分布精细控制的基台及控制方法 |
CN103726034B (zh) * | 2014-01-22 | 2017-01-25 | 清华大学 | 用于工艺腔室的基台及其控制方法、托盘及其设计方法 |
US20150228514A1 (en) * | 2014-02-12 | 2015-08-13 | Axcelis Technologies, Inc. | Multi Fluid Cooling System for Large Temperature Range Chuck |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US20150332942A1 (en) * | 2014-05-16 | 2015-11-19 | Eng Sheng Peh | Pedestal fluid-based thermal control |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9543171B2 (en) | 2014-06-17 | 2017-01-10 | Lam Research Corporation | Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element |
US11302520B2 (en) * | 2014-06-28 | 2022-04-12 | Applied Materials, Inc. | Chamber apparatus for chemical etching of dielectric materials |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
KR102411194B1 (ko) | 2014-09-04 | 2022-06-20 | 삼성전자주식회사 | 냉매의 양방향 흐름이 가능한 정전척 어셈블리 및 이를 구비한 반도체 제조장치 |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
KR102307839B1 (ko) * | 2014-10-24 | 2021-10-05 | 세메스 주식회사 | 웨이퍼 지지용 척 구조물 |
US10490429B2 (en) * | 2014-11-26 | 2019-11-26 | Applied Materials, Inc. | Substrate carrier using a proportional thermal fluid delivery system |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) * | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
CN104947088B (zh) * | 2015-06-16 | 2017-11-14 | 清华大学 | 调节温度场和/或等离子场的阻抗可控模块 |
TWI757242B (zh) * | 2015-08-06 | 2022-03-11 | 美商應用材料股份有限公司 | 用於晶圓處理系統的熱管理系統及方法 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9805963B2 (en) * | 2015-10-05 | 2017-10-31 | Lam Research Corporation | Electrostatic chuck with thermal choke |
JP6584286B2 (ja) * | 2015-10-26 | 2019-10-02 | 日本発條株式会社 | ヒータユニット |
US10020218B2 (en) | 2015-11-17 | 2018-07-10 | Applied Materials, Inc. | Substrate support assembly with deposited surface features |
US10690414B2 (en) * | 2015-12-11 | 2020-06-23 | Lam Research Corporation | Multi-plane heater for semiconductor substrate support |
US10446419B2 (en) * | 2016-03-11 | 2019-10-15 | Toshiba Memory Corporation | Semiconductor manufacturing apparatus |
US10764966B2 (en) | 2016-05-10 | 2020-09-01 | Lam Research Corporation | Laminated heater with different heater trace materials |
US10667379B2 (en) * | 2016-05-10 | 2020-05-26 | Lam Research Corporation | Connections between laminated heater and heater voltage inputs |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
KR101958636B1 (ko) | 2016-10-31 | 2019-03-18 | 세메스 주식회사 | 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법 |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR101910347B1 (ko) * | 2016-12-05 | 2018-10-23 | 주식회사 글로벌스탠다드테크놀로지 | 반도체 제조설비의 고도화 온도제어장치 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
DE102017200588A1 (de) * | 2017-01-16 | 2018-07-19 | Ers Electronic Gmbh | Vorrichtung zum Temperieren eines Substrats und entsprechendes Herstellungsverfahren |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US20180233321A1 (en) * | 2017-02-16 | 2018-08-16 | Lam Research Corporation | Ion directionality esc |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
CN106893982A (zh) * | 2017-03-30 | 2017-06-27 | 京东方科技集团股份有限公司 | 一种冷却板和蒸镀装置 |
WO2018183557A1 (en) * | 2017-03-31 | 2018-10-04 | Lam Research Corporation | Electrostatic chuck with flexible wafer temperature control |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US11107708B2 (en) * | 2017-11-14 | 2021-08-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Heating platform, thermal treatment and manufacturing method |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10510564B2 (en) * | 2018-01-10 | 2019-12-17 | Lam Research Corporation | Dynamic coolant mixing manifold |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
JP2020105590A (ja) * | 2018-12-27 | 2020-07-09 | キオクシア株式会社 | 基板処理装置および基板処理方法 |
US10770421B2 (en) * | 2018-12-29 | 2020-09-08 | Micron Technology, Inc. | Bond chucks having individually-controllable regions, and associated systems and methods |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11515190B2 (en) * | 2019-08-27 | 2022-11-29 | Watlow Electric Manufacturing Company | Thermal diffuser for a semiconductor wafer holder |
CN111441038B (zh) * | 2020-03-20 | 2023-08-22 | 华南理工大学 | 一种基于化学气相沉积法的纳米材料打印机 |
CN111415887A (zh) * | 2020-03-27 | 2020-07-14 | 宁波润华全芯微电子设备有限公司 | 一种晶圆加热装置 |
KR20210144333A (ko) * | 2020-05-22 | 2021-11-30 | 세메스 주식회사 | 정전 척과 그 제조 방법 및 기판 처리 장치 |
CN113512665B (zh) * | 2021-07-14 | 2021-12-21 | 上海铂世光半导体科技有限公司 | 一种合金材料的特殊水道设计的散热台 |
WO2023076321A1 (en) * | 2021-10-29 | 2023-05-04 | Lam Research Corporation | Modulating thermal conductivity to control cooling of showerhead |
WO2023239585A1 (en) * | 2022-06-07 | 2023-12-14 | Lam Research Corporation | Substrate temperature control with integrated thermoelectric cooling system |
CN116313946B (zh) * | 2023-05-24 | 2023-10-17 | 长鑫存储技术有限公司 | 温度调节系统及调节方法 |
Family Cites Families (46)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4948458A (en) * | 1989-08-14 | 1990-08-14 | Lam Research Corporation | Method and apparatus for producing magnetically-coupled planar plasma |
JPH0718438A (ja) * | 1993-06-17 | 1995-01-20 | Anelva Corp | 静電チャック装置 |
US5538529A (en) * | 1993-09-10 | 1996-07-23 | Bion Technologies, Inc. | Bioconverted nutrient rich humus |
JPH0863236A (ja) * | 1994-08-22 | 1996-03-08 | Komatsu Electron Kk | 温度制御装置 |
US5938943A (en) * | 1995-07-28 | 1999-08-17 | Applied Materials, Inc. | Near Substrate reactant Homogenization apparatus |
US5740016A (en) * | 1996-03-29 | 1998-04-14 | Lam Research Corporation | Solid state temperature controlled substrate holder |
WO1998005060A1 (en) * | 1996-07-31 | 1998-02-05 | The Board Of Trustees Of The Leland Stanford Junior University | Multizone bake/chill thermal cycling module |
US5846375A (en) * | 1996-09-26 | 1998-12-08 | Micron Technology, Inc. | Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment |
US6107608A (en) * | 1997-03-24 | 2000-08-22 | Micron Technology, Inc. | Temperature controlled spin chuck |
US6866094B2 (en) * | 1997-12-31 | 2005-03-15 | Temptronic Corporation | Temperature-controlled chuck with recovery of circulating temperature control fluid |
US6415858B1 (en) * | 1997-12-31 | 2002-07-09 | Temptronic Corporation | Temperature control system for a workpiece chuck |
JPH11329926A (ja) * | 1998-05-11 | 1999-11-30 | Dainippon Screen Mfg Co Ltd | 基板冷却装置および基板冷却方法 |
US5996353A (en) * | 1998-05-21 | 1999-12-07 | Applied Materials, Inc. | Semiconductor processing system with a thermoelectric cooling/heating device |
JP2000031253A (ja) * | 1998-07-10 | 2000-01-28 | Komatsu Ltd | 基板処理装置及び方法 |
AU5448200A (en) * | 1999-05-27 | 2000-12-18 | Matrix Integrated Systems, Inc. | Rapid heating and cooling of workpiece chucks |
JP2004507886A (ja) * | 2000-07-21 | 2004-03-11 | テンプトロニック コーポレイション | 温度制御された自動試験用熱プラットフォーム |
JP3817414B2 (ja) * | 2000-08-23 | 2006-09-06 | 株式会社日立製作所 | 試料台ユニットおよびプラズマ処理装置 |
AU2002240261A1 (en) * | 2001-03-02 | 2002-09-19 | Tokyo Electron Limited | Method and apparatus for active temperature control of susceptors |
US20050211385A1 (en) * | 2001-04-30 | 2005-09-29 | Lam Research Corporation, A Delaware Corporation | Method and apparatus for controlling spatial temperature distribution |
US7161121B1 (en) * | 2001-04-30 | 2007-01-09 | Lam Research Corporation | Electrostatic chuck having radial temperature control capability |
JP4549022B2 (ja) * | 2001-04-30 | 2010-09-22 | ラム リサーチ コーポレイション | ワーク支持体の表面を横切る空間温度分布を制御する方法および装置 |
US6795292B2 (en) * | 2001-05-15 | 2004-09-21 | Dennis Grimard | Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber |
US7160105B2 (en) * | 2001-06-01 | 2007-01-09 | Litrex Corporation | Temperature controlled vacuum chuck |
US7479304B2 (en) * | 2002-02-14 | 2009-01-20 | Applied Materials, Inc. | Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate |
US6771086B2 (en) * | 2002-02-19 | 2004-08-03 | Lucas/Signatone Corporation | Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control |
US6664738B2 (en) * | 2002-02-27 | 2003-12-16 | Hitachi, Ltd. | Plasma processing apparatus |
US6677167B2 (en) * | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
US6767844B2 (en) * | 2002-07-03 | 2004-07-27 | Taiwan Semiconductor Manufacturing Co., Ltd | Plasma chamber equipped with temperature-controlled focus ring and method of operating |
WO2004008503A1 (en) * | 2002-07-11 | 2004-01-22 | Temptronic Corporation | Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules |
US7347901B2 (en) * | 2002-11-29 | 2008-03-25 | Tokyo Electron Limited | Thermally zoned substrate holder assembly |
US20040163601A1 (en) * | 2003-02-26 | 2004-08-26 | Masanori Kadotani | Plasma processing apparatus |
US20040187787A1 (en) * | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US7221553B2 (en) * | 2003-04-22 | 2007-05-22 | Applied Materials, Inc. | Substrate support having heat transfer system |
US7993460B2 (en) * | 2003-06-30 | 2011-08-09 | Lam Research Corporation | Substrate support having dynamic temperature control |
KR100666039B1 (ko) * | 2003-12-05 | 2007-01-10 | 동경 엘렉트론 주식회사 | 정전척 |
US7244336B2 (en) * | 2003-12-17 | 2007-07-17 | Lam Research Corporation | Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift |
WO2005074450A2 (en) * | 2004-01-30 | 2005-08-18 | Tokyo Electron Limited | Substrate holder having a fluid gap and method of fabricating the substrate holder |
US8038796B2 (en) * | 2004-12-30 | 2011-10-18 | Lam Research Corporation | Apparatus for spatial and temporal control of temperature on a substrate |
US7815740B2 (en) * | 2005-03-18 | 2010-10-19 | Tokyo Electron Limited | Substrate mounting table, substrate processing apparatus and substrate processing method |
US7789962B2 (en) * | 2005-03-31 | 2010-09-07 | Tokyo Electron Limited | Device and method for controlling temperature of a mounting table, a program therefor, and a processing apparatus including same |
US7429718B2 (en) * | 2005-08-02 | 2008-09-30 | Applied Materials, Inc. | Heating and cooling of substrate support |
US8709162B2 (en) * | 2005-08-16 | 2014-04-29 | Applied Materials, Inc. | Active cooling substrate support |
US8343280B2 (en) * | 2006-03-28 | 2013-01-01 | Tokyo Electron Limited | Multi-zone substrate temperature control system and method of operating |
US8226769B2 (en) * | 2006-04-27 | 2012-07-24 | Applied Materials, Inc. | Substrate support with electrostatic chuck having dual temperature zones |
US20080029032A1 (en) * | 2006-08-01 | 2008-02-07 | Sun Jennifer Y | Substrate support with protective layer for plasma resistance |
JP5032269B2 (ja) * | 2007-11-02 | 2012-09-26 | 東京エレクトロン株式会社 | 被処理基板の温度調節装置及び温度調節方法、並びにこれを備えたプラズマ処理装置 |
-
2008
- 2008-11-12 US US12/292,081 patent/US20100116788A1/en not_active Abandoned
-
2009
- 2009-11-03 CN CN201610088237.9A patent/CN105603376A/zh active Pending
- 2009-11-03 KR KR1020167033652A patent/KR20160141873A/ko active Search and Examination
- 2009-11-03 EP EP09825828.8A patent/EP2366039A4/en not_active Withdrawn
- 2009-11-03 CN CN2009801429632A patent/CN102197156A/zh active Pending
- 2009-11-03 WO PCT/IB2009/054876 patent/WO2010055441A2/en active Application Filing
- 2009-11-03 KR KR1020117010737A patent/KR20110083666A/ko active Search and Examination
- 2009-11-03 JP JP2011543856A patent/JP5546552B2/ja active Active
- 2009-11-12 TW TW098138394A patent/TWI546408B/zh active
- 2009-11-12 TW TW105114077A patent/TWI589719B/zh active
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TWI776424B (zh) * | 2020-03-18 | 2022-09-01 | 日商科理克股份有限公司 | 溫度控制系統 |
Also Published As
Publication number | Publication date |
---|---|
WO2010055441A3 (en) | 2010-07-08 |
JP2012508991A (ja) | 2012-04-12 |
TW201631190A (zh) | 2016-09-01 |
WO2010055441A2 (en) | 2010-05-20 |
CN105603376A (zh) | 2016-05-25 |
US20100116788A1 (en) | 2010-05-13 |
KR20110083666A (ko) | 2011-07-20 |
TWI589719B (zh) | 2017-07-01 |
JP5546552B2 (ja) | 2014-07-09 |
KR20160141873A (ko) | 2016-12-09 |
TWI546408B (zh) | 2016-08-21 |
EP2366039A2 (en) | 2011-09-21 |
CN102197156A (zh) | 2011-09-21 |
EP2366039A4 (en) | 2013-09-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TW201033398A (en) | Improved substrate temperature control by using liquid controlled multizone substrate support | |
US10062587B2 (en) | Pedestal with multi-zone temperature control and multiple purge capabilities | |
JP6076246B2 (ja) | ゾーン依存熱効率性を備えた温度制御されたプラズマ処理チャンバ部品 | |
KR101052446B1 (ko) | 온도 제어면을 갖는 기판 지지체 | |
US5846883A (en) | Method for multi-zone high-density inductively-coupled plasma generation | |
JP4969259B2 (ja) | プラズマ処理装置 | |
US20070095285A1 (en) | Apparatus for cyclical depositing of thin films | |
US9248509B2 (en) | Multi-zoned plasma processing electrostatic chuck with improved temperature uniformity | |
TW200926334A (en) | Temperature control device for target substrate, temperature control method and plasma processing apparatus including same | |
CN107230655A (zh) | 用于等离子体处理的双区式加热器 | |
WO2016011352A1 (en) | Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor | |
EP0641017B1 (en) | Method and apparatus for semiconductor device processing | |
JP2022530213A (ja) | 空間的に調節可能なウエハへのrf結合を有する静電チャック | |
CN116057684A (zh) | 使用多个加热区和热孔隙的基座热分布调节 | |
TW202238809A (zh) | 可準確調整溫度的承載盤及應用該承載盤的薄膜沉積裝置 | |
CN116194616A (zh) | 高温工艺用轴向冷却金属喷头 | |
KR20230149836A (ko) | 재치반 및 재치 구조 |