US20070095285A1 - Apparatus for cyclical depositing of thin films - Google Patents
Apparatus for cyclical depositing of thin films Download PDFInfo
- Publication number
- US20070095285A1 US20070095285A1 US11/612,931 US61293106A US2007095285A1 US 20070095285 A1 US20070095285 A1 US 20070095285A1 US 61293106 A US61293106 A US 61293106A US 2007095285 A1 US2007095285 A1 US 2007095285A1
- Authority
- US
- United States
- Prior art keywords
- gas
- high speed
- showerhead
- speed valve
- coupled
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 238000000151 deposition Methods 0.000 title abstract description 18
- 239000010409 thin film Substances 0.000 title abstract description 5
- 239000007789 gas Substances 0.000 claims abstract description 120
- 238000009826 distribution Methods 0.000 claims abstract description 26
- 230000001360 synchronised effect Effects 0.000 claims abstract description 6
- 238000012545 processing Methods 0.000 claims description 41
- 239000002243 precursor Substances 0.000 claims description 32
- 238000010926 purge Methods 0.000 claims description 18
- 238000004891 communication Methods 0.000 claims description 17
- 239000012530 fluid Substances 0.000 claims description 12
- 239000006185 dispersion Substances 0.000 claims description 11
- 239000012159 carrier gas Substances 0.000 claims description 7
- 238000000034 method Methods 0.000 abstract description 67
- 230000008569 process Effects 0.000 abstract description 65
- 239000000758 substrate Substances 0.000 abstract description 29
- 238000006243 chemical reaction Methods 0.000 abstract description 11
- 239000004065 semiconductor Substances 0.000 abstract description 9
- 150000001875 compounds Chemical class 0.000 description 61
- 238000000231 atomic layer deposition Methods 0.000 description 21
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 16
- 239000010408 film Substances 0.000 description 15
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 10
- 230000008021 deposition Effects 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 230000001590 oxidative effect Effects 0.000 description 9
- 230000009977 dual effect Effects 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 238000012546 transfer Methods 0.000 description 6
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 5
- 238000010574 gas phase reaction Methods 0.000 description 5
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 4
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000012713 reactive precursor Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 2
- 239000003708 ampul Substances 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 230000000977 initiatory effect Effects 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000001272 nitrous oxide Substances 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 239000006200 vaporizer Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- SMZOGRDCAXLAAR-UHFFFAOYSA-N aluminium isopropoxide Chemical compound [Al+3].CC(C)[O-].CC(C)[O-].CC(C)[O-] SMZOGRDCAXLAAR-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 230000003197 catalytic effect Effects 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000012864 cross contamination Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- TUTOKIOKAWTABR-UHFFFAOYSA-N dimethylalumane Chemical compound C[AlH]C TUTOKIOKAWTABR-UHFFFAOYSA-N 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 239000003446 ligand Substances 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 description 1
- 238000009827 uniform distribution Methods 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/452—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/32091—Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
Definitions
- the present invention generally relates to semiconductor processing. More particularly, the invention relates to an apparatus for performing cyclical deposition processes in semiconductor substrate processing systems.
- An atomic layer deposition (ALD) process is a cyclical deposition method that is generally used for depositing ultra-thin layers (e.g., mono-layers) over features of semiconductor devices having a high aspect ratio, i.e., a ratio of the depth of a feature to the smallest width of the feature.
- ultra-thin layers e.g., mono-layers
- the ALD process utilizes a chemisorption phenomenon to deposit mono-layers of reactive precursor molecules.
- reactive precursors are injected, in the form of pulsed gases, into a deposition chamber in a predetermined cyclical order.
- Each injection of a precursor provides a new atomic layer on a substrate that is additive to or combines with the previously deposited layers.
- Injections of individual precursor gases generally are separated by injections of a purge gas or, in other embodiments, the purge gas may be flown continuously into the deposition chamber.
- the purge gas generally comprises an inert gas, such as argon (Ar), helium (He), and the like or a mixture thereof.
- the deposition chamber is also continuously evacuated to reduce the gas phase reactions between the precursors.
- the present invention is an apparatus for cyclical depositing thin films on semiconductor substrates with low film contamination and minimal gas phase reactions between the precursors.
- the apparatus comprises a process chamber having a gas distribution system facilitating separate paths for process gases and an exhaust system that is synchronized with the valves dosing the process gases.
- Various embodiments of the apparatus are described.
- the invention is used to deposit an aluminum oxide (Al 2 O 3 ) film.
- a gas distribution system for providing at least two gases to a processing chamber.
- the gas distribution system includes a lid assembly and a manifold comprising a first isolated flow path and a second isolated flow path, wherein the first isolated flow path includes an outlet in fluid communication with a central gas channel having a diameter that radially expands towards a showerhead coupled to the lid assembly, a first high speed valve coupled to the manifold and in fluid communication with the first isolated flow path and a second high speed valve coupled to the manifold and in fluid communication with the second isolated flow path, and a valved exhaust system in communication with and at least partially synchronized with the operation of at least the first high speed valve.
- a gas distribution system for providing at least two gases to a processing region in a processing chamber.
- the gas distribution assembly includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.
- a gas distribution system for providing at least two gases to a processing region in a processing chamber.
- the gas distribution system includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path, and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a plasma source coupled to the at least one precursor inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve
- FIG. 1 is a schematic, perspective view of one illustrative embodiment of a semiconductor substrate processing system in accordance with the present invention
- FIG. 2 is a schematic, cross-sectional view of a process chamber of the processing system of FIG. 1 ;
- FIG. 3 is a schematic, partial cross-sectional view of a lid assembly of the process chamber of FIG. 2 ;
- FIG. 4 is a schematic, partial view of a showerhead of the process chamber of FIG. 2 ;
- FIG. 5 is a schematic, partial cross-sectional view of another embodiment of the lid assembly of the process chamber of FIG. 2 ;
- FIG. 6 is a schematic, partial cross-sectional view of another embodiment of the process chamber of the processing system FIG. 1 ;
- FIG. 7 is a schematic, partial cross-sectional view of yet another illustrative embodiment of the process chamber of the processing system FIG. 1 ;
- FIG. 8 is a schematic, partial cross-sectional view of one embodiment of a showerhead of the process chamber of FIG. 7 ;
- FIG. 9 is a schematic, partial cross-sectional view of another embodiment of the showerhead of the process chamber of FIG. 7 ;
- FIG. 10 is a schematic, plan view of a processing platform integrating the process chambers used in performing cyclical deposition processes of the present invention.
- the present invention is an apparatus for performing cyclical depositing of thin films on semiconductor substrates (for example, using an atomic layer deposition (ALD) process and the like) with low film contamination and minimal gas phase reactions between the reactive precursors.
- the apparatus is used to deposit an aluminum oxide (Al 2 O 3 ) film.
- the apparatus may be used to deposit other films that include materials such as aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W) films, and the like.
- FIGS. 1-9 are schematic views of various embodiments of an exemplary processing system 100 and salient portions of the system in accordance with the present invention.
- the images in FIGS. 1-9 are simplified for illustrative purposes and are not depicted to scale.
- FIG. 1 is a schematic, perspective view of one illustrative embodiment of a processing system 100 comprising a process chamber 101 , a controller 70 , a dual exhaust system 50 , and a source 530 of process gases that are used during a cyclical deposition process (for example, ALD process).
- a cyclical deposition process for example, ALD process
- the process chamber 101 comprises a chamber body 105 , a lid assembly 120 , and an ozonator 170 .
- the process chamber 101 has two isolated zones (flow paths) for gaseous compounds that are used during an ALD process.
- gaseous compound is collectively used for one or more process gases, such as precursor gases, purge gases, carrier gases, catalytic gases, and the like, as well as for mixtures thereof, and the terms “gas” and “gas mixture” are used interchangeably.
- the isolated flow paths prevent mixing of gaseous compounds before the compounds reach a reaction region 159 of the process chamber 101 .
- the process chamber 101 may comprise more than two isolated flow paths.
- the lid assembly 120 is disposed on the chamber body 105 and, in a closed position, forms a fluid-tight seal with the chamber body.
- the lid assembly 120 generally comprises a lid plate 122 , a ring heater 125 , a manifold block 150 , a showerhead 130 , and high-speed valves 155 A, 155 B.
- Components of the lid assembly 120 are preferably formed from process-compatible materials, such as aluminum, aluminum nitride, stainless steel, graphite, silicon carbide, and the like.
- the lid assembly 120 further comprises a handle 145 and a hinge assembly 140 used to lift the lid assembly during routine cleaning and maintenance of the process chamber 101 .
- the chamber body 105 comprises a member 109 , a liner 107 , and a support pedestal 111 .
- a slit 115 is formed in a sidewall of the chamber body 105 to facilitate transfer of a substrate into and out of the process chamber 101 .
- a suitable wafer transfer robot for example, robot 1030 described in reference to FIG. 10
- FIG. 10 One example of a suitable wafer transfer robot (for example, robot 1030 described in reference to FIG. 10 ) is disclosed in commonly assigned U.S. Pat. No. 4,951,601.
- the support pedestal 111 for example, a ceramic support pedestal, comprises a heater 53 A, as well as a thermocouple 50 A that is used to monitor the temperature thereof. A signal from the thermocouple 50 A may be used in a feedback loop that controls power applied to a heater 53 A.
- the heater 53 A may be a resistive heater or other thermal transfer device embedded in or otherwise coupled to the support pedestal 111 .
- the support pedestal 111 may be heated using a conduit (not shown) carrying a heat transfer fluid.
- the support pedestal 111 may also comprise channels (not shown) to deliver a purge gas to an edge and/or backside of the substrate.
- the substrate support 111 is coupled to a lifting mechanism and comprises a chucking device that holds the substrate thereon (both not shown). Examples of suitable chucking devices include a vacuum chuck, an electrostatic chuck, a clamp ring, and the like.
- suitable chucking devices include a vacuum chuck, an electrostatic chuck, a clamp ring, and the like.
- the liner 107 circumscribes the interior vertical surfaces of the chamber body 105 .
- the liner 107 covers a bottom of the chamber body 105 (as depicted in FIG. 2 ) or a separate liner may be used to cover the bottom.
- the liner 107 may be constructed of any process-compatible material.
- a purge channel 119 is formed between the liner 107 and the chamber body 105 . The purge gas is flown through the purge channel 119 to confine the gaseous compounds within the reaction region 159 , as well as to minimize unwanted deposition on sidewalls of the chamber and improve heat exchange between the sidewalls and the liner 107 .
- the member 109 defines gas conductance of a path to the exhaust ports 117 A, 117 B.
- the member 109 is an annular ring having a plurality of apertures 109 A.
- the apertures 109 A facilitate uniform removal of gaseous compounds and by-products out of the process chamber 101 .
- a diameter, number, and location of the apertures 109 A may be determined based on requirements of a particular ALD process. However, in some embodiments, the member 109 may be omitted and, as such, is considered optional.
- the ring heater 125 is attached to the lid plate 120 using, for example, conventional fasteners, such as screws and the like. Generally, the ring heater 125 comprises at least one embedded electrical heating element (not shown). During the ALD process, the ring heater 125 defines the temperature (for example, about 90 degrees Celsius or higher) of the lid plate 122 to prevent deposition of gaseous compounds and by-products of the process on the lid plate.
- the high-speed valves 155 A, 155 B are mounted on the manifold block 150 such that a fluid-tight seal is provided between the manifold and a valve.
- the seal may be provided using, for example, a gasket (not shown) that is placed between the upper surface of the manifold block 150 and bottom surface of a high-speed valve and compressed thereafter.
- gasket may be formed from stainless steel or other compressible and process-compatible material.
- the manifold block 150 comprises one or more cooling channels (not shown) disposed therein to protect the high-speed valves 155 A, 155 B from exposure to excessive operating temperatures during the ALD process.
- the manifold block 150 uses running water as a heat transfer medium.
- the high-speed valves 155 A, 155 B repeatedly deliver, in a predetermined order, pulses of gaseous compounds into the process chamber 101 .
- the on/off periods of the valves are about 100 msec or less.
- the high-speed valves 155 A, 155 B are controlled by the controller 70 or, alternatively, by an application specific controller (nor shown), such as, for example, described in commonly assigned U.S. patent application Ser. No. 09/800,881, filed on Mar. 7, 2001, which is incorporated herein by reference.
- the high-speed valves 155 A, 155 B are three-port valves.
- the high speed valve 155 A has two intake ports 171 A, 177 A and one outlet port 173 A
- the high speed valve 155 B has two intake ports 171 B, 177 B and one outlet port 173 B.
- the process chamber 101 may also comprise more than two high-speed valves.
- a high-speed valve may have only one intake port or more than two intake ports. Suitable high-speed valves are available from Fujikin Inc., of Japan, and other suppliers.
- one intake port of the valve is coupled to a source of a precursor gas, while the other intake port is coupled to a source of a purge gas and the outlet port is coupled to a respective outlet channel (channels 154 A, 154 B).
- one valve e.g., valve 155 A
- a precursor gas for example, aluminum precursor
- the other valve e.g., valve 155 B
- an oxidizing gas for example, ozone
- FIG. 3 depicts isolated flow paths for individual gaseous compounds.
- the paths are formed in the lid assembly 120 to separate the compounds within the lid assembly.
- each gaseous compound has a dedicated flow path, or, alternatively, the flow path may deliver more than one compound, for example, one precursor or oxidizing gas and one purge gas.
- embodiments of the invention are further described in terms of a three gaseous compound processing system 100 using for example, one precursor gas, one oxidizing gas, and one purge gas.
- Such processing system comprises at least two isolated flow paths.
- the processing system 100 may comprise a different number of isolated flow paths and/or use a different number of gaseous compounds.
- the first flow path comprises an inlet channel 153 A for a first gaseous compound (for example, aluminum precursor, such as at least one of trimethylaluminum (Al(CH 3 ) 3 ), triisopropoxyaluminum (Al(C 3 H 7 ) 3 ), and dimethylaluminumhydride (Al(CH 3 ) 2 H), as well as precursors having a chemical structure Al(R 1 )(R 2 )(R 3 ), where R 1 , R 2 , R 3 may be the same or different ligands, and the like), an inlet channel 124 A for a purge gas (for example, helium (He), argon (Ar), nitrogen (N 2 ), hydrogen (H 2 ), and the like), the high-speed valve 155 A, and an outlet channel 154 A.
- a first gaseous compound for example, aluminum precursor, such as at least one of trimethylaluminum (Al(CH 3 ) 3 ), triisopropoxyaluminum
- the second flow path comprises an inlet channel 153 B for a second gaseous compound (for example, oxidizing gas, such as, ozone (O 3 ), oxygen (O 2 ), water (H 2 O) vapor, nitrous oxide (N 2 O), nitric oxide (NO), and the like, an inlet channel 124 B for the purge gas, the high-speed valve 155 B, and an outlet channel 154 B.
- a second gaseous compound for example, oxidizing gas, such as, ozone (O 3 ), oxygen (O 2 ), water (H 2 O) vapor, nitrous oxide (N 2 O), nitric oxide (NO), and the like
- the inlet channels 153 A, 153 B are generally each coupled at a first end thereof to a source (not shown) of an individual gaseous compound, as well as coupled at a second end thereof to the respective valve 155 A, 155 B.
- the inlet channels 124 A, 124 B similarly transfer one or more purge gases to the valves 155 A, 155 B.
- a diameter of the gas channel 154 A increases towards the showerhead 130 to decrease the kinetic energy of the flowing gaseous compound.
- the first gaseous is dosed (pulsed) using the high-speed valve 155 A and then directed to the reaction region 159 through the outlet channel 154 A (in the manifold block 150 and lid plate 122 ) and centrally located slotted openings 131 A, 131 B (discussed in reference to FIG. 4 ) in the showerhead 130 .
- the second gaseous compound is pulsed using the high-speed valve 155 B and then directed to the reaction region 159 through the outlet channel 154 B (in the manifold block 150 and lid plate 122 ), a sealed cavity 156 , and a plurality of apertures 133 in the showerhead 130 .
- the cavity 156 can be sealed using, for example, o-ring seals 139 A, 139 B that are disposed in the channels 129 A, 129 B, respectively.
- a dispersion plate 132 is disposed near the slotted openings 131 A, 131 B and deflects, both horizontally and vertically, a flow of the gaseous compound from the slotted openings 131 A, 131 B.
- the plate converts a substantially vertical flow of the compound into the partially horizontal flow and prevents the gaseous compound from impinging directly on the substrate.
- the dispersion plate 132 may be a part of the showerhead 130 or, alternatively, may be affixed to the showerhead.
- the dispersion plate 132 re-directs and decreases velocity of the gaseous compound. Without such re-direction, the impinging compound may sweep away (sputter) reactive molecules already disposed on the substrate. Further, the dispersion plate 132 prevents excess deposition onto regions of the substrate that oppose the openings 131 A, 131 B and, as such, facilitates uniform depositing of the film on the substrate.
- FIG. 4 is a schematic, partial view of a portion of the showerhead 130 taken along an arrow 157 in FIG. 3 .
- the showerhead 130 comprises a plurality of apertures 133 disposed around the slotted openings 131 A, 131 B.
- the apertures 133 comprise nozzles 130 A ( FIG. 5 ) to provide a directional delivery of a gaseous compound to the substrate below.
- the nozzles 130 A are angled relative to the upper surface of the support pedestal 111 .
- the apertures 133 and nozzles 130 A are sized and positioned to provide uniform distribution of the gaseous compound across the substrate.
- the apertures 133 are formed on the entire surface of the showerhead 130 .
- the apertures 133 are formed substantially within a region opposing the support pedestal 111 .
- the openings 131 A, 131 B are shown having a generally circular form factor, the openings may have any other form factor that provides a desired pattern of a flow of a gaseous compound in the reaction region 159 .
- a number of the centrally located openings in the showerhead 130 may be either one or greater than two.
- the dual exhaust system 50 comprises an exhaust channel 108 formed in the liner 107 , exhaust ports 117 A, 117 B) formed in a sidewall of the process chamber 101 , exhaust pumps 52 A, 52 B, and valves 55 A, 55 B (for example, electronic or pneumatic throttle valves and the like).
- operation of the valves 55 A, 55 B is synchronized with operation of the high-speed valves 155 A, 155 B, for example, the valves 55 A, 55 B open and close contemporaneously with such actions of the high-speed valves.
- each exhaust pump can be operated independently, and, preferably, is used to remove specific gaseous compounds.
- one pump is used to remove an aluminum precursor and the other pump is used to remove an oxidizing gas, while both pumps are used simultaneously to remove the purge gas.
- a gaseous compound dosed into the chamber body 150 using the high-speed valve 155 A is exhausted from the process chamber 101 through the throttle valve 55 A that is open when the throttle valve 55 B is closed.
- the gaseous compound dosed into the process chamber 101 using the high-speed valve 155 B is exhausted from the chamber through the throttle valve 55 B that is open when the throttle valve 55 A is closed.
- the dual exhaust system 50 reduces mixing of gaseous compounds in the processing system 100 .
- an off-cycle throttle valve i.e., temporarily closed valve
- an off-cycle throttle valve is not opened to the exhaust port immediately upon initiation of a pulse of a gaseous compound, but instead lags the pulse by a small time delay to reduce cross-contamination of the gaseous compounds within the dual exhaust system 50 .
- the throttle valve not associated with the subsequent pulse of the other gaseous compound is closed just prior to initiation of the pulse of the compound.
- Such synchronized operation of the dual exhaust system 50 is generally performed by a computer controller 70 or, alternatively, by the application specific controller.
- the dual exhaust system 50 may further comprise a trap (not shown) disposed between the exhaust pump and throttle valve or between the chamber body 105 and throttle valve.
- the trap removes by-products of the ALD process from an exhaust stream thereby increasing performance and service intervals of the exhaust pump.
- the trap may be of any conventional type suited to collection of by-products generated during the ALD process.
- a single exhaust system may also be used.
- Such exhaust system may utilize, for example, the pump 52 A (or 52 B), the optional trap, and the throttle valve 55 A (or 55 B) coupled to the exhaust port 117 A (or 117 B).
- the exhaust pump is on and the throttle valve is open.
- the ozonator 170 (i.e., source of ozone) is in fluid communication with a source of the precursor (for example, oxygen), as well as with inlet channels 124 A, 124 B in the manifold block 150 .
- the ozonator 170 is disposed in close proximity to the processing system 100 (as shown in FIG. 1 ), such that losses associated with delivery of ozone into the process chamber 101 are minimized.
- Ozonators are available, for example, from ASTeX® Products of Wilmington, Mass.
- the oxidizing gas may be produced using, for example, a remote source (not shown), such as a remote plasma generator (for example, DC, radio frequency (RF), microwave (MW) plasma generator, and the like).
- a remote source for example, DC, radio frequency (RF), microwave (MW) plasma generator, and the like.
- the remote source produces reactive species, which then are delivered to the process chamber 101 .
- Such remote sources are available from Advanced Energy Industries, Inc. of Fort Collins, Colo. and others.
- the oxidizing gas can be produced using a thermal gas break-down technique, a high-intensity light source (for example, UV or x-ray source), and the like.
- FIG. 5 is a schematic, partial cross-sectional view of an alternative embodiment of the lid assembly 120 comprising the ozonator 170 coupled to the process chamber 101 and to a buffer cavity 520 , through a diverter valve 510 .
- the diverter valve 510 couples the ozonator 170 to the process chamber 101 contemporaneously with an open state (with respect to the inlets 124 A, 124 B) of the high-speed valves 155 A, 155 B.
- the diverter valve 510 couples the ozonator 170 to the buffer cavity 520 when the high-speed valves 155 A, 155 B are in closed state in respect to the inlets 124 A, 124 B.
- the buffer cavity 520 simulates a second process chamber and, as such, using the diverter valve 510 , ozone and/or other oxidizing gas can be produced continuously during the ALD process.
- the source 530 comprises an ampoule 531 containing a liquid aluminum precursor and a vaporizer 532 .
- the ampoule 531 , the vaporizer 532 , and delivering lines may each be heated (for example, using any conventional method of heating) to assist in vaporization of the liquid phase, as well as in preventing the vaporized precursor from condensing.
- the precursor may be pre-mixed with a solvent that reduces viscosity of the liquid phase, and then vaporized.
- a carrier gas such as argon, helium (He), hydrogen (H 2 ), and the like may also be used to facilitate delivery of the precursor, in a form of a gaseous compound, to the process chamber 101 .
- FIG. 6 is a schematic, partial cross-sectional view of another embodiment an ALD process chamber 301 comprising a circumferential gas delivery assembly 300 and an upper gas delivery assembly 350 .
- the circumferential gas delivery assembly 300 is disposed in a chamber body 305 and comprises an annular gas ring 310 having at least two separate gas distribution channels 316 , 318 to supply at least two separate gaseous compounds into the process chamber 301 .
- Each gas distribution channel is coupled to a source of a gaseous compound and comprises a plurality of ports adapted for receiving gas nozzles.
- each gas distribution channel is in fluid communication with a plurality of circumferentially mounted gas nozzles.
- alternating ports are connected to one of the gas distribution channels, while the other ports are connected to the other channel.
- a gaseous compound from the source 352 is distributed through the nozzles 302 of the gas distribution channel 316 .
- a gaseous compound from the source 358 is distributed through the nozzles 304 of the gas distribution channel 318 .
- the upper gas delivery assembly 350 is disposed in the lid assembly 320 and comprises a center gas feed 312 and a nozzle 306 .
- the center gas feed 312 is in fluid communication with two or more sources 364 , 370 of other gaseous compounds.
- Such embodiment provides, through the peripheral gas nozzles 302 , 304 and the central gas nozzle 306 , three separate passes for the gaseous compounds (for example, metal-containing precursor, oxidizing gas, and inert gas) in the process chamber 101 . Further, different gaseous compounds can be introduced into a reaction volume at select locations within the chamber. In the depicted embodiment, the gaseous compounds are dosed using four high-speed valves 354 A- 354 D each having one intake port and one outlet port. In other embodiments, during a cyclical deposition process, at least one of the gaseous compounds may be flown into the process chamber 101 continuously. In further embodiments, the gas delivery assembly 300 may comprise more than one annular gas ring 310 or the ring may have more than two gas distribution channels, as well as the upper gas delivery assembly 350 may comprise more than one gas nozzle 306 .
- the gas delivery assembly 300 may comprise more than one annular gas ring 310 or the ring may have more than two gas distribution channels, as well as the upper gas delivery
- the gas distribution ring 310 and the nozzles 302 , 304 , and 306 are made of a process-compatible material (for example, aluminum, stainless steel, and the like), as well as are supplied with conventional process-compatible fluid-tight seals (not shown), such as o-rings and the like.
- the seals isolate the gas distribution channels 316 , 318 from one another.
- the nozzles 302 , 304 , and 306 are threaded in the respective ports to provide fluid-tight couplings therein, as well as means facilitating prompt replacement of the nozzles.
- a form factor of the restricting orifice of a nozzle can be selected for desired dispersion of gaseous compound within the chamber.
- FIG. 7 is a schematic, cross-sectional view of still another embodiment of a process chamber 700 for performing the cyclical deposition processes.
- the process chamber 700 comprises a chamber body 702 and gas distribution system 730 .
- the chamber body 702 houses a substrate support 712 that supports a substrate 710 in the chamber 700 .
- the substrate support 712 comprises an embedded heater element 722 .
- a temperature sensor 726 (for example, a thermocouple) is embedded in the substrate support 712 to monitor the temperature of the substrate support 712 .
- the substrate 710 may be heated using a source of radiant heat (not shown), such as quartz lamps and the like.
- the chamber body 702 comprises an opening 708 in a sidewall 704 providing access for a robot to deliver and retrieve the substrate 710 , as well as exhaust ports 717 A, 717 B (only port 717 A is shown) that are fluidly coupled to the dual exhaust system 50 (discussed in reference to FIG. 1 above).
- the gas distribution system 730 generally comprises a mounting plate 733 , a showerhead 770 , and a blocker plate 760 and provides at least two separate paths for gaseous compounds into a reaction region 728 between the showerhead 770 and the substrate support 712 .
- the gas distribution system 730 also serves as a lid of the process chamber 700 .
- the gas distribution system 730 may be a portion of a lid assembly of the chamber 700 .
- the mounting plate 733 comprises a channel 737 and a channel 743 , as well as a plurality of channels 746 that are formed to control the temperature of the gaseous compounds (for example, by providing either a cooling or heating fluid into the channels). Such control is used to prevent decomposing or condensation of the compounds.
- Each of the channels 737 , 743 provides a separate path for a gaseous compound within the gas distribution system 730 .
- FIG. 8 is a schematic, partial cross-sectional view of one embodiment of the showerhead 770 .
- the showerhead 770 comprises a plate 772 that is coupled to a base 780 .
- the plate 772 has a plurality of openings 774
- the base 780 comprises a plurality of columns 782 and a plurality of grooves 784 .
- the columns 782 and grooves 784 comprise openings 783 and 785 , respectively.
- the plate 772 and base 780 are coupled such, that the openings 783 in the base align with the openings 774 in the plate to form a path for a first gaseous compound through the showerhead 770 .
- the grooves 784 are in fluid communication with one another and, together, facilitate a separate path for a second gaseous compound into the reaction region 728 through the openings 785 .
- the showerhead 771 comprises the plate 750 having the grooves 752 and columns 754 , and a base 756 comprising a plurality of openings 758 and 759 . In either embodiment, contacting surfaces of the plate and base may be brazed together to prevent mixing of the gaseous compounds within the showerhead.
- Each of the channels 737 and 743 is coupled to a source (not shown) of the respective gaseous compound. Further, the channel 737 directs the first gaseous compound into a volume 731 , while the channel 743 is coupled to a plenum 775 that provides a path for the second gaseous compound to the grooves 784 .
- the blocker plate 760 comprises a plurality of openings 762 that facilitate fluid communication between the volume 731 , plenum 729 , and a plurality of openings 774 that disperse the first gaseous compound into the reaction region 728 .
- the gas distribution system 730 provides separate paths for the gaseous compounds delivered to the channels 737 and 743 .
- the blocker plate 760 and the showerhead 770 are electrically isolated from one another, the mounting plate 733 , and chamber body 702 using insulators (not shown) formed of, for example, quartz, ceramic, and like.
- the insulators are generally disposed between the contacting surfaces in annular peripheral regions thereof to facilitate electrical biasing of these components and, as such, enable plasma enhanced cyclical deposition techniques, for example, plasma enhanced ALD (PEALD) processing.
- PEALD plasma enhanced ALD
- a power source may be coupled, for example, through a matching network (both not shown), to the blocker plate 760 when the showerhead 770 and chamber body 702 are coupled to a ground terminal.
- the power source may be either a radio-frequency (RF) or direct current (DC) power source that energizes the gaseous compound in the plenum 729 to form a plasma.
- the power source may be coupled to the showerhead 770 when the substrate support 712 and chamber body 702 are coupled to the ground terminal.
- the gaseous compounds may be energized to form a plasma in the reaction region 728 .
- the plasma may be selectively formed either between the blocker plate 760 and showerhead 770 , or between the showerhead 770 and substrate support 712 .
- Such electrical biasing schemes are disclosed in commonly assigned U.S. patent application Ser. No. 10/354,214, filed Jan. 27, 2003 (Attorney docket number 7660), which is incorporated herein by reference.
- the blocker plate 760 and showerhead 770 may be coupled to separate outputs of the matching network to produce an electrical field gradient to direct the plasma species through the openings in the showerhead 770 towards the substrate 710 .
- the blocker plate 760 and showerhead 770 may be individually coupled to separate power sources each using a separate matching network.
- the controller 70 comprises a central processing unit (CPU) 123 , a memory 116 , and a support circuit 114 .
- the CPU 123 may be of any form of a general-purpose computer processor that is used in an industrial setting.
- the software routines can be stored in the memory 116 , such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage.
- the support circuit 114 is coupled to the CPU 123 in a conventional manner and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like.
- the software routines when executed by the CPU 123 , transform the CPU into a specific purpose computer (controller) 70 that controls the reactor 100 such that the processes are performed in accordance with the present invention.
- the software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from the reactor 100 .
- FIG. 10 is a schematic, top plan view of an exemplary integrated processing system 1000 configured to form a film stack having an aluminum oxide layer.
- One such integrated processing system is a CENTURA® system that is available from Applied Materials, Inc. of Santa Clara, Calif.
- the particular embodiment of the system 1000 is provided to illustrate the invention and should not be used to limit the scope of the invention.
- the system 1000 generally includes load lock chambers 1022 that protect the vacuumed interior of the system 1000 from contaminants.
- a robot 1030 having a blade 1034 is used to transfer the substrates between the load lock chambers 1022 and process chambers 1010 , 1012 , 1014 , 1016 , 1020 .
- One or more of the chambers is an aluminum oxide chamber, such as the process chambers described above in reference to FIGS. 1-9 .
- one or more chambers may be adapted to deposit a material used during fabrication of integrated circuits, as well as be a cleaning chamber (for example, a plasma cleaning chamber) used to remove unwanted products from a substrate.
- a cleaning chamber for example, a plasma cleaning chamber
- one or more of the chambers 1010 , 1012 , 1014 , 1016 , 1020 may be an annealing chamber or other thermal processing chamber, for example, the RADIANCETM chamber available from Applied Materials, Inc. of Santa Clara, Calif.
- the system 1000 may comprise one or more metrology chambers 1018 connected thereto using, for example, a factory interface 1024 .
- the system 1000 may comprise other types of process chambers.
- One example of a possible configuration of the integrated processing system 1000 includes a load lock chamber (chamber 1022 ), an aluminum oxide cyclical deposition chamber (chamber 1010 ), a first dielectric deposition chamber (chamber 1012 ), a metal deposition chamber (chamber 1014 ), a second dielectric deposition chamber (chamber 1016 ), and an annealing chamber (chamber 1020 ).
- the processing system 1000 may be used to deposit with low film contamination and minimal gas phase reactions between the precursors various metal-containing films, for example, aluminum oxide, copper, titanium, tantalum, tungsten films, and the like. In one illustrative application, the processing system 1000 is used to deposit an aluminum oxide film. Various cyclical deposition processes used to deposit the aluminum oxide and other films using the processing system 1000 are described in commonly assigned U.S. patent application Ser. No. 60/357,382, filed Feb. 15, 2002, which is incorporated herein by reference.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Electromagnetism (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
Description
- This application is a continuation of U.S. patent application Ser. No. 10/352,257 (Attorney Docket No. 006941), filed Jan. 27, 2003, which claims benefit of U.S. Provisional Application Ser. No. 60/351,561, filed Jan. 25, 2002, both applications are incorporated by reference herein.
- 1. Field of the Invention
- The present invention generally relates to semiconductor processing. More particularly, the invention relates to an apparatus for performing cyclical deposition processes in semiconductor substrate processing systems.
- 2. Description of the Related Art
- An atomic layer deposition (ALD) process is a cyclical deposition method that is generally used for depositing ultra-thin layers (e.g., mono-layers) over features of semiconductor devices having a high aspect ratio, i.e., a ratio of the depth of a feature to the smallest width of the feature.
- The ALD process utilizes a chemisorption phenomenon to deposit mono-layers of reactive precursor molecules. During the ALD process, reactive precursors are injected, in the form of pulsed gases, into a deposition chamber in a predetermined cyclical order. Each injection of a precursor provides a new atomic layer on a substrate that is additive to or combines with the previously deposited layers. Injections of individual precursor gases generally are separated by injections of a purge gas or, in other embodiments, the purge gas may be flown continuously into the deposition chamber. The purge gas generally comprises an inert gas, such as argon (Ar), helium (He), and the like or a mixture thereof. During the ALD process, the deposition chamber is also continuously evacuated to reduce the gas phase reactions between the precursors.
- There are many challenges associated with ALD technique that affect the film properties and costs of operation and ownership. For example, unwanted gas phase reactions between precursors within the process chamber of the prior art may cause contamination of deposited films and require frequent cleaning of the chamber, thus decreasing productivity of the ALD process.
- Therefore, there is a need for an improved apparatus for cyclical depositing of thin films during fabrication of semiconductor devices.
- The present invention is an apparatus for cyclical depositing thin films on semiconductor substrates with low film contamination and minimal gas phase reactions between the precursors. The apparatus comprises a process chamber having a gas distribution system facilitating separate paths for process gases and an exhaust system that is synchronized with the valves dosing the process gases. Various embodiments of the apparatus are described. In one application, the invention is used to deposit an aluminum oxide (Al2O3) film.
- In one embodiment, a gas distribution system for providing at least two gases to a processing chamber is described. The gas distribution system includes a lid assembly and a manifold comprising a first isolated flow path and a second isolated flow path, wherein the first isolated flow path includes an outlet in fluid communication with a central gas channel having a diameter that radially expands towards a showerhead coupled to the lid assembly, a first high speed valve coupled to the manifold and in fluid communication with the first isolated flow path and a second high speed valve coupled to the manifold and in fluid communication with the second isolated flow path, and a valved exhaust system in communication with and at least partially synchronized with the operation of at least the first high speed valve.
- In another embodiment, a gas distribution system for providing at least two gases to a processing region in a processing chamber is described. The gas distribution assembly includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.
- In another embodiment, a gas distribution system for providing at least two gases to a processing region in a processing chamber is described. The gas distribution system includes a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises a first isolated flow path, and a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet, a plasma source coupled to the at least one precursor inlet, a lid assembly, comprising: a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures, and a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead, and a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.
- The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
-
FIG. 1 is a schematic, perspective view of one illustrative embodiment of a semiconductor substrate processing system in accordance with the present invention; -
FIG. 2 is a schematic, cross-sectional view of a process chamber of the processing system ofFIG. 1 ; -
FIG. 3 is a schematic, partial cross-sectional view of a lid assembly of the process chamber ofFIG. 2 ; -
FIG. 4 is a schematic, partial view of a showerhead of the process chamber ofFIG. 2 ; -
FIG. 5 is a schematic, partial cross-sectional view of another embodiment of the lid assembly of the process chamber ofFIG. 2 ; -
FIG. 6 is a schematic, partial cross-sectional view of another embodiment of the process chamber of the processing systemFIG. 1 ; -
FIG. 7 is a schematic, partial cross-sectional view of yet another illustrative embodiment of the process chamber of the processing systemFIG. 1 ; -
FIG. 8 is a schematic, partial cross-sectional view of one embodiment of a showerhead of the process chamber ofFIG. 7 ; -
FIG. 9 is a schematic, partial cross-sectional view of another embodiment of the showerhead of the process chamber ofFIG. 7 ; and -
FIG. 10 is a schematic, plan view of a processing platform integrating the process chambers used in performing cyclical deposition processes of the present invention. - To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
- It is to be noted, however, that the appended drawings illustrate only exemplary embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
- The present invention is an apparatus for performing cyclical depositing of thin films on semiconductor substrates (for example, using an atomic layer deposition (ALD) process and the like) with low film contamination and minimal gas phase reactions between the reactive precursors. In one application, the apparatus is used to deposit an aluminum oxide (Al2O3) film. In other applications, the apparatus may be used to deposit other films that include materials such as aluminum (Al), copper (Cu), titanium (Ti), tantalum (Ta), tungsten (W) films, and the like.
-
FIGS. 1-9 are schematic views of various embodiments of anexemplary processing system 100 and salient portions of the system in accordance with the present invention. The images inFIGS. 1-9 are simplified for illustrative purposes and are not depicted to scale. -
FIG. 1 is a schematic, perspective view of one illustrative embodiment of aprocessing system 100 comprising aprocess chamber 101, acontroller 70, adual exhaust system 50, and asource 530 of process gases that are used during a cyclical deposition process (for example, ALD process). - The
process chamber 101 comprises achamber body 105, alid assembly 120, and anozonator 170. In the depicted embodiment, theprocess chamber 101 has two isolated zones (flow paths) for gaseous compounds that are used during an ALD process. Herein the term “gaseous compound” is collectively used for one or more process gases, such as precursor gases, purge gases, carrier gases, catalytic gases, and the like, as well as for mixtures thereof, and the terms “gas” and “gas mixture” are used interchangeably. The isolated flow paths prevent mixing of gaseous compounds before the compounds reach areaction region 159 of theprocess chamber 101. In other embodiments, theprocess chamber 101 may comprise more than two isolated flow paths. - The
lid assembly 120 is disposed on thechamber body 105 and, in a closed position, forms a fluid-tight seal with the chamber body. Thelid assembly 120 generally comprises alid plate 122, aring heater 125, amanifold block 150, ashowerhead 130, and high-speed valves lid assembly 120 are preferably formed from process-compatible materials, such as aluminum, aluminum nitride, stainless steel, graphite, silicon carbide, and the like. Thelid assembly 120 further comprises ahandle 145 and ahinge assembly 140 used to lift the lid assembly during routine cleaning and maintenance of theprocess chamber 101. - The
chamber body 105 comprises amember 109, aliner 107, and asupport pedestal 111. Aslit 115 is formed in a sidewall of thechamber body 105 to facilitate transfer of a substrate into and out of theprocess chamber 101. One example of a suitable wafer transfer robot (for example,robot 1030 described in reference toFIG. 10 ) is disclosed in commonly assigned U.S. Pat. No. 4,951,601. - The
support pedestal 111, for example, a ceramic support pedestal, comprises aheater 53A, as well as athermocouple 50A that is used to monitor the temperature thereof. A signal from thethermocouple 50A may be used in a feedback loop that controls power applied to aheater 53A. Theheater 53A may be a resistive heater or other thermal transfer device embedded in or otherwise coupled to thesupport pedestal 111. Optionally, thesupport pedestal 111 may be heated using a conduit (not shown) carrying a heat transfer fluid. Thesupport pedestal 111 may also comprise channels (not shown) to deliver a purge gas to an edge and/or backside of the substrate. Further, thesubstrate support 111 is coupled to a lifting mechanism and comprises a chucking device that holds the substrate thereon (both not shown). Examples of suitable chucking devices include a vacuum chuck, an electrostatic chuck, a clamp ring, and the like. One example of the lifting mechanism is described in the commonly assigned U.S. Pat. No. 5,951,776. - The
liner 107 circumscribes the interior vertical surfaces of thechamber body 105. Alternatively, theliner 107 covers a bottom of the chamber body 105 (as depicted inFIG. 2 ) or a separate liner may be used to cover the bottom. Theliner 107 may be constructed of any process-compatible material. Apurge channel 119 is formed between theliner 107 and thechamber body 105. The purge gas is flown through thepurge channel 119 to confine the gaseous compounds within thereaction region 159, as well as to minimize unwanted deposition on sidewalls of the chamber and improve heat exchange between the sidewalls and theliner 107. - The
member 109 defines gas conductance of a path to theexhaust ports member 109 is an annular ring having a plurality ofapertures 109A. Theapertures 109A facilitate uniform removal of gaseous compounds and by-products out of theprocess chamber 101. A diameter, number, and location of theapertures 109A may be determined based on requirements of a particular ALD process. However, in some embodiments, themember 109 may be omitted and, as such, is considered optional. - The
ring heater 125 is attached to thelid plate 120 using, for example, conventional fasteners, such as screws and the like. Generally, thering heater 125 comprises at least one embedded electrical heating element (not shown). During the ALD process, thering heater 125 defines the temperature (for example, about 90 degrees Celsius or higher) of thelid plate 122 to prevent deposition of gaseous compounds and by-products of the process on the lid plate. - The high-
speed valves manifold block 150 such that a fluid-tight seal is provided between the manifold and a valve. The seal may be provided using, for example, a gasket (not shown) that is placed between the upper surface of themanifold block 150 and bottom surface of a high-speed valve and compressed thereafter. Such gasket may be formed from stainless steel or other compressible and process-compatible material. In one embodiment, themanifold block 150 comprises one or more cooling channels (not shown) disposed therein to protect the high-speed valves manifold block 150 uses running water as a heat transfer medium. - In operation, the high-
speed valves process chamber 101. The on/off periods of the valves are about 100 msec or less. The high-speed valves controller 70 or, alternatively, by an application specific controller (nor shown), such as, for example, described in commonly assigned U.S. patent application Ser. No. 09/800,881, filed on Mar. 7, 2001, which is incorporated herein by reference. - In one embodiment, the high-
speed valves high speed valve 155A has two intake ports 171A, 177A and one outlet port 173A, and thehigh speed valve 155B has two intake ports 171B, 177B and one outlet port 173B. In other embodiments, theprocess chamber 101 may also comprise more than two high-speed valves. However, in other embodiments, a high-speed valve may have only one intake port or more than two intake ports. Suitable high-speed valves are available from Fujikin Inc., of Japan, and other suppliers. - In one exemplary application, one intake port of the valve is coupled to a source of a precursor gas, while the other intake port is coupled to a source of a purge gas and the outlet port is coupled to a respective outlet channel (
channels valve 155A) doses a precursor gas (for example, aluminum precursor), the other valve (e.g.,valve 155B) doses an oxidizing gas (for example, ozone), and the purge gas can continuously flow through both valves. -
FIG. 3 depicts isolated flow paths for individual gaseous compounds. The paths are formed in thelid assembly 120 to separate the compounds within the lid assembly. Generally, each gaseous compound has a dedicated flow path, or, alternatively, the flow path may deliver more than one compound, for example, one precursor or oxidizing gas and one purge gas. For simplicity of description, embodiments of the invention are further described in terms of a three gaseouscompound processing system 100 using for example, one precursor gas, one oxidizing gas, and one purge gas. Such processing system comprises at least two isolated flow paths. However, in other embodiments, theprocessing system 100 may comprise a different number of isolated flow paths and/or use a different number of gaseous compounds. - The first flow path comprises an
inlet channel 153A for a first gaseous compound (for example, aluminum precursor, such as at least one of trimethylaluminum (Al(CH3)3), triisopropoxyaluminum (Al(C3H7)3), and dimethylaluminumhydride (Al(CH3)2H), as well as precursors having a chemical structure Al(R1)(R2)(R3), where R1, R2, R3 may be the same or different ligands, and the like), aninlet channel 124A for a purge gas (for example, helium (He), argon (Ar), nitrogen (N2), hydrogen (H2), and the like), the high-speed valve 155A, and anoutlet channel 154A. Similarly, the second flow path comprises aninlet channel 153B for a second gaseous compound (for example, oxidizing gas, such as, ozone (O3), oxygen (O2), water (H2O) vapor, nitrous oxide (N2O), nitric oxide (NO), and the like, aninlet channel 124B for the purge gas, the high-speed valve 155B, and anoutlet channel 154B. Theinlet channels respective valve inlet channels valves gas channel 154A increases towards theshowerhead 130 to decrease the kinetic energy of the flowing gaseous compound. - In operation, in the depicted embodiment, the first gaseous is dosed (pulsed) using the high-
speed valve 155A and then directed to thereaction region 159 through theoutlet channel 154A (in themanifold block 150 and lid plate 122) and centrally located slottedopenings FIG. 4 ) in theshowerhead 130. Similarly, the second gaseous compound is pulsed using the high-speed valve 155B and then directed to thereaction region 159 through theoutlet channel 154B (in themanifold block 150 and lid plate 122), a sealedcavity 156, and a plurality ofapertures 133 in theshowerhead 130. As such, the first and second gaseous compounds are separated from one another within thelid assembly 120. Thecavity 156 can be sealed using, for example, o-ring seals channels - A
dispersion plate 132 is disposed near the slottedopenings openings dispersion plate 132 may be a part of theshowerhead 130 or, alternatively, may be affixed to the showerhead. Thedispersion plate 132 re-directs and decreases velocity of the gaseous compound. Without such re-direction, the impinging compound may sweep away (sputter) reactive molecules already disposed on the substrate. Further, thedispersion plate 132 prevents excess deposition onto regions of the substrate that oppose theopenings -
FIG. 4 is a schematic, partial view of a portion of theshowerhead 130 taken along anarrow 157 inFIG. 3 . In one embodiment, theshowerhead 130 comprises a plurality ofapertures 133 disposed around the slottedopenings apertures 133 comprisenozzles 130A (FIG. 5 ) to provide a directional delivery of a gaseous compound to the substrate below. In one embodiment, thenozzles 130A are angled relative to the upper surface of thesupport pedestal 111. Theapertures 133 andnozzles 130A are sized and positioned to provide uniform distribution of the gaseous compound across the substrate. In one embodiment, theapertures 133 are formed on the entire surface of theshowerhead 130. In an alternative embodiment, theapertures 133 are formed substantially within a region opposing thesupport pedestal 111. Although theopenings reaction region 159. Further, in other embodiments, a number of the centrally located openings in theshowerhead 130 may be either one or greater than two. - The
dual exhaust system 50 comprises anexhaust channel 108 formed in theliner 107,exhaust ports process chamber 101, exhaust pumps 52A, 52B, andvalves valves speed valves valves - In this embodiment, a gaseous compound dosed into the
chamber body 150 using the high-speed valve 155A is exhausted from theprocess chamber 101 through thethrottle valve 55A that is open when thethrottle valve 55B is closed. Similarly, the gaseous compound dosed into theprocess chamber 101 using the high-speed valve 155B is exhausted from the chamber through thethrottle valve 55B that is open when thethrottle valve 55A is closed. As such, thedual exhaust system 50 reduces mixing of gaseous compounds in theprocessing system 100. In a further embodiment, an off-cycle throttle valve (i.e., temporarily closed valve) is not opened to the exhaust port immediately upon initiation of a pulse of a gaseous compound, but instead lags the pulse by a small time delay to reduce cross-contamination of the gaseous compounds within thedual exhaust system 50. Likewise, once both throttle valves are open during the purge step, the throttle valve not associated with the subsequent pulse of the other gaseous compound is closed just prior to initiation of the pulse of the compound. Such synchronized operation of thedual exhaust system 50 is generally performed by acomputer controller 70 or, alternatively, by the application specific controller. - The
dual exhaust system 50 may further comprise a trap (not shown) disposed between the exhaust pump and throttle valve or between thechamber body 105 and throttle valve. The trap removes by-products of the ALD process from an exhaust stream thereby increasing performance and service intervals of the exhaust pump. The trap may be of any conventional type suited to collection of by-products generated during the ALD process. - Although the dual exhaust system is described, in an alternative embodiment, a single exhaust system may also be used. Such exhaust system may utilize, for example, the
pump 52A (or 52B), the optional trap, and thethrottle valve 55A (or 55B) coupled to theexhaust port 117A (or 117B). In this embodiment, during an ALD process, the exhaust pump is on and the throttle valve is open. - The ozonator 170 (i.e., source of ozone) is in fluid communication with a source of the precursor (for example, oxygen), as well as with
inlet channels manifold block 150. Preferably, theozonator 170 is disposed in close proximity to the processing system 100 (as shown inFIG. 1 ), such that losses associated with delivery of ozone into theprocess chamber 101 are minimized. Ozonators are available, for example, from ASTeX® Products of Wilmington, Mass. - In another embodiment, the oxidizing gas may be produced using, for example, a remote source (not shown), such as a remote plasma generator (for example, DC, radio frequency (RF), microwave (MW) plasma generator, and the like). The remote source produces reactive species, which then are delivered to the
process chamber 101. Such remote sources are available from Advanced Energy Industries, Inc. of Fort Collins, Colo. and others. Alternatively, the oxidizing gas can be produced using a thermal gas break-down technique, a high-intensity light source (for example, UV or x-ray source), and the like. -
FIG. 5 is a schematic, partial cross-sectional view of an alternative embodiment of thelid assembly 120 comprising theozonator 170 coupled to theprocess chamber 101 and to abuffer cavity 520, through adiverter valve 510. Generally, thediverter valve 510 couples theozonator 170 to theprocess chamber 101 contemporaneously with an open state (with respect to theinlets speed valves diverter valve 510 couples theozonator 170 to thebuffer cavity 520 when the high-speed valves inlets buffer cavity 520 simulates a second process chamber and, as such, using thediverter valve 510, ozone and/or other oxidizing gas can be produced continuously during the ALD process. - In one embodiment, the
source 530 comprises anampoule 531 containing a liquid aluminum precursor and avaporizer 532. Theampoule 531, thevaporizer 532, and delivering lines may each be heated (for example, using any conventional method of heating) to assist in vaporization of the liquid phase, as well as in preventing the vaporized precursor from condensing. Alternatively, the precursor may be pre-mixed with a solvent that reduces viscosity of the liquid phase, and then vaporized. A carrier gas, such as argon, helium (He), hydrogen (H2), and the like may also be used to facilitate delivery of the precursor, in a form of a gaseous compound, to theprocess chamber 101. -
FIG. 6 is a schematic, partial cross-sectional view of another embodiment anALD process chamber 301 comprising a circumferentialgas delivery assembly 300 and an uppergas delivery assembly 350. - The circumferential
gas delivery assembly 300 is disposed in achamber body 305 and comprises anannular gas ring 310 having at least two separategas distribution channels process chamber 301. Each gas distribution channel is coupled to a source of a gaseous compound and comprises a plurality of ports adapted for receiving gas nozzles. As such, each gas distribution channel is in fluid communication with a plurality of circumferentially mounted gas nozzles. In one embodiment, alternating ports are connected to one of the gas distribution channels, while the other ports are connected to the other channel. In the depicted embodiment, a gaseous compound from thesource 352 is distributed through the nozzles 302 of thegas distribution channel 316. Similarly, a gaseous compound from thesource 358 is distributed through thenozzles 304 of thegas distribution channel 318. - The upper
gas delivery assembly 350 is disposed in thelid assembly 320 and comprises acenter gas feed 312 and anozzle 306. Generally, thecenter gas feed 312 is in fluid communication with two ormore sources - Such embodiment provides, through the
peripheral gas nozzles 302, 304 and thecentral gas nozzle 306, three separate passes for the gaseous compounds (for example, metal-containing precursor, oxidizing gas, and inert gas) in theprocess chamber 101. Further, different gaseous compounds can be introduced into a reaction volume at select locations within the chamber. In the depicted embodiment, the gaseous compounds are dosed using four high-speed valves 354A-354D each having one intake port and one outlet port. In other embodiments, during a cyclical deposition process, at least one of the gaseous compounds may be flown into theprocess chamber 101 continuously. In further embodiments, thegas delivery assembly 300 may comprise more than oneannular gas ring 310 or the ring may have more than two gas distribution channels, as well as the uppergas delivery assembly 350 may comprise more than onegas nozzle 306. - Generally, the
gas distribution ring 310 and thenozzles gas distribution channels nozzles -
FIG. 7 is a schematic, cross-sectional view of still another embodiment of aprocess chamber 700 for performing the cyclical deposition processes. Theprocess chamber 700 comprises achamber body 702 andgas distribution system 730. - The
chamber body 702 houses asubstrate support 712 that supports asubstrate 710 in thechamber 700. Thesubstrate support 712 comprises an embeddedheater element 722. A temperature sensor 726 (for example, a thermocouple) is embedded in thesubstrate support 712 to monitor the temperature of thesubstrate support 712. Alternatively, thesubstrate 710 may be heated using a source of radiant heat (not shown), such as quartz lamps and the like. Further, thechamber body 702 comprises anopening 708 in asidewall 704 providing access for a robot to deliver and retrieve thesubstrate 710, as well asexhaust ports 717A, 717B (only port 717A is shown) that are fluidly coupled to the dual exhaust system 50 (discussed in reference toFIG. 1 above). - The
gas distribution system 730 generally comprises a mountingplate 733, ashowerhead 770, and ablocker plate 760 and provides at least two separate paths for gaseous compounds into areaction region 728 between theshowerhead 770 and thesubstrate support 712. In the depicted embodiment, thegas distribution system 730 also serves as a lid of theprocess chamber 700. However, in other embodiments, thegas distribution system 730 may be a portion of a lid assembly of thechamber 700. The mountingplate 733 comprises achannel 737 and achannel 743, as well as a plurality ofchannels 746 that are formed to control the temperature of the gaseous compounds (for example, by providing either a cooling or heating fluid into the channels). Such control is used to prevent decomposing or condensation of the compounds. Each of thechannels gas distribution system 730. -
FIG. 8 is a schematic, partial cross-sectional view of one embodiment of theshowerhead 770. Theshowerhead 770 comprises aplate 772 that is coupled to abase 780. Theplate 772 has a plurality ofopenings 774, while thebase 780 comprises a plurality ofcolumns 782 and a plurality ofgrooves 784. Thecolumns 782 andgrooves 784 compriseopenings plate 772 andbase 780 are coupled such, that theopenings 783 in the base align with theopenings 774 in the plate to form a path for a first gaseous compound through theshowerhead 770. Thegrooves 784 are in fluid communication with one another and, together, facilitate a separate path for a second gaseous compound into thereaction region 728 through theopenings 785. In an alternative embodiment (FIG. 9 ), theshowerhead 771 comprises theplate 750 having thegrooves 752 andcolumns 754, and a base 756 comprising a plurality ofopenings - Each of the
channels channel 737 directs the first gaseous compound into avolume 731, while thechannel 743 is coupled to aplenum 775 that provides a path for the second gaseous compound to thegrooves 784. Theblocker plate 760 comprises a plurality ofopenings 762 that facilitate fluid communication between thevolume 731,plenum 729, and a plurality ofopenings 774 that disperse the first gaseous compound into thereaction region 728. As such, thegas distribution system 730 provides separate paths for the gaseous compounds delivered to thechannels - In one embodiment, the
blocker plate 760 and theshowerhead 770 are electrically isolated from one another, the mountingplate 733, andchamber body 702 using insulators (not shown) formed of, for example, quartz, ceramic, and like. The insulators are generally disposed between the contacting surfaces in annular peripheral regions thereof to facilitate electrical biasing of these components and, as such, enable plasma enhanced cyclical deposition techniques, for example, plasma enhanced ALD (PEALD) processing. - In one exemplary embodiment, a power source may be coupled, for example, through a matching network (both not shown), to the
blocker plate 760 when theshowerhead 770 andchamber body 702 are coupled to a ground terminal. The power source may be either a radio-frequency (RF) or direct current (DC) power source that energizes the gaseous compound in theplenum 729 to form a plasma. Alternatively, the power source may be coupled to theshowerhead 770 when thesubstrate support 712 andchamber body 702 are coupled to the ground terminal. In this embodiment, the gaseous compounds may be energized to form a plasma in thereaction region 728. As such, the plasma may be selectively formed either between theblocker plate 760 andshowerhead 770, or between theshowerhead 770 andsubstrate support 712. Such electrical biasing schemes are disclosed in commonly assigned U.S. patent application Ser. No. 10/354,214, filed Jan. 27, 2003 (Attorney docket number 7660), which is incorporated herein by reference. - In still another embodiment, the
blocker plate 760 andshowerhead 770 may be coupled to separate outputs of the matching network to produce an electrical field gradient to direct the plasma species through the openings in theshowerhead 770 towards thesubstrate 710. In yet another alternative embodiment, to produce the electrical field gradient, theblocker plate 760 andshowerhead 770 may be individually coupled to separate power sources each using a separate matching network. - Referring to
FIG. 1 , thecontroller 70 comprises a central processing unit (CPU) 123, amemory 116, and asupport circuit 114. TheCPU 123 may be of any form of a general-purpose computer processor that is used in an industrial setting. The software routines can be stored in thememory 116, such as random access memory, read only memory, floppy or hard disk drive, or other form of digital storage. Thesupport circuit 114 is coupled to theCPU 123 in a conventional manner and may comprise cache, clock circuits, input/output sub-systems, power supplies, and the like. The software routines, when executed by theCPU 123, transform the CPU into a specific purpose computer (controller) 70 that controls thereactor 100 such that the processes are performed in accordance with the present invention. The software routines may also be stored and/or executed by a second controller (not shown) that is located remotely from thereactor 100. -
FIG. 10 is a schematic, top plan view of an exemplaryintegrated processing system 1000 configured to form a film stack having an aluminum oxide layer. One such integrated processing system is a CENTURA® system that is available from Applied Materials, Inc. of Santa Clara, Calif. The particular embodiment of thesystem 1000 is provided to illustrate the invention and should not be used to limit the scope of the invention. - The
system 1000 generally includesload lock chambers 1022 that protect the vacuumed interior of thesystem 1000 from contaminants. Arobot 1030 having ablade 1034 is used to transfer the substrates between theload lock chambers 1022 andprocess chambers FIGS. 1-9 . Further, one or more chambers may be adapted to deposit a material used during fabrication of integrated circuits, as well as be a cleaning chamber (for example, a plasma cleaning chamber) used to remove unwanted products from a substrate. Example of such cleaning chamber is the PRECLEAN II™ chamber available from Applied Materials, Inc. of Santa Clara, Calif. Optionally, one or more of thechambers system 1000 may comprise one ormore metrology chambers 1018 connected thereto using, for example, afactory interface 1024. Alternatively, thesystem 1000 may comprise other types of process chambers. - One example of a possible configuration of the
integrated processing system 1000 includes a load lock chamber (chamber 1022), an aluminum oxide cyclical deposition chamber (chamber 1010), a first dielectric deposition chamber (chamber 1012), a metal deposition chamber (chamber 1014), a second dielectric deposition chamber (chamber 1016), and an annealing chamber (chamber 1020). - The
processing system 1000 may be used to deposit with low film contamination and minimal gas phase reactions between the precursors various metal-containing films, for example, aluminum oxide, copper, titanium, tantalum, tungsten films, and the like. In one illustrative application, theprocessing system 1000 is used to deposit an aluminum oxide film. Various cyclical deposition processes used to deposit the aluminum oxide and other films using theprocessing system 1000 are described in commonly assigned U.S. patent application Ser. No. 60/357,382, filed Feb. 15, 2002, which is incorporated herein by reference. - Although the foregoing discussion referred to the apparatus for performing cyclical deposition processes, other processing apparatuses can benefit from the invention. The invention can be practiced in other semiconductor processing systems wherein the parameters may be adjusted to achieve acceptable characteristics by those skilled in the art by utilizing the teachings disclosed herein without departing from the spirit of the invention.
- While foregoing is directed to the illustrative embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.
Claims (20)
1. A gas distribution system for providing at least two gases to a processing chamber, comprising:
a lid assembly and a manifold comprising a first isolated flow path and a second isolated flow path, wherein the first isolated flow path includes an outlet in fluid communication with a central gas channel having a diameter that radially expands towards a showerhead coupled to the lid assembly;
a first high speed valve coupled to the manifold and in fluid communication with the first isolated flow path and a second high speed valve coupled to the manifold and in fluid communication with the second isolated flow path; and
a valved exhaust system in communication with and at least partially synchronized with the operation of at least the first high speed valve.
2. The apparatus of claim 1 , wherein first high speed valve and the second high speed valve is configured to pulse a precursor gas and continually flow a purge gas or a carrier gas.
3. The apparatus of claim 1 , wherein a plasma generator is coupled to the processing chamber.
4. The apparatus of claim 3 , wherein the plasma generator is a radio frequency source.
5. The apparatus of claim 3 , wherein the plasma generator is a microwave source.
6. The apparatus of claim 1 , wherein the showerhead comprises a central region having a plurality of slotted openings and an outer region having a plurality of apertures surrounding the plurality of slotted openings.
7. The apparatus of claim 6 , wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.
8. The apparatus of claim 1 , wherein a dispersion plate is positioned below the showerhead.
9. A gas distribution system for providing at least two gases to a processing region in a processing chamber, comprising:
a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises:
a first isolated flow path; and
a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet;
a lid assembly, comprising:
a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures; and
a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead; and
a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.
10. The apparatus of claim 9 , wherein a dispersion plate is positioned below the slotted openings.
11. The apparatus of claim 10 , wherein the dispersion plate is fixed to the showerhead.
12. The apparatus of claim 10 , wherein the dispersion plate is integral to the showerhead.
13. The apparatus of claim 9 , wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.
14. The apparatus of claim 9 , wherein a plasma generator is coupled to the processing chamber.
15. The apparatus of claim 14 , wherein the plasma generator is a radio frequency source.
16. The apparatus of claim 14 , wherein the plasma generator is a microwave source.
17. A gas distribution system for providing at least two gases to a processing region in a processing chamber, comprising:
a valve assembly comprising a first high speed valve and a second high speed valve coupled to a manifold, wherein the manifold comprises:
a first isolated flow path; and
a second isolated flow path, wherein each of the first and second isolated flow paths comprises at least one precursor gas inlet;
a plasma source coupled to the at least one precursor inlet;
a lid assembly, comprising:
a showerhead coupled to a lid plate, wherein the showerhead comprises a central region having slotted openings and an outer region having a plurality of apertures; and
a central gas channel passing through the lid plate, wherein the central gas channel includes an upper portion and a lower portion having an increasing diameter towards the showerhead; and
a valved exhaust system in communication with the operation of the first high speed valve and the second high speed valve, wherein each isolated flow path receives a precursor gas and a carrier gas that is pulsed to the processing region by the first high speed valve and the second high speed valve.
18. The apparatus of claim 17 , wherein a dispersion plate is positioned below the slotted openings.
19. The apparatus of claim 18 , wherein the dispersion plate is fixed to the showerhead.
20. The apparatus of claim 17 , wherein the slotted openings are positioned to direct a substantially vertical gas flow along the axis of the central gas channel to a partially horizontal gas flow that is at least partially orthogonal to the axis of the central gas channel.
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/612,931 US20070095285A1 (en) | 2002-01-25 | 2006-12-19 | Apparatus for cyclical depositing of thin films |
US12/261,487 US8123860B2 (en) | 2002-01-25 | 2008-10-30 | Apparatus for cyclical depositing of thin films |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US35156102P | 2002-01-25 | 2002-01-25 | |
US10/352,257 US7175713B2 (en) | 2002-01-25 | 2003-01-27 | Apparatus for cyclical deposition of thin films |
US11/612,931 US20070095285A1 (en) | 2002-01-25 | 2006-12-19 | Apparatus for cyclical depositing of thin films |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/352,257 Continuation US7175713B2 (en) | 2002-01-25 | 2003-01-27 | Apparatus for cyclical deposition of thin films |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/261,487 Continuation US8123860B2 (en) | 2002-01-25 | 2008-10-30 | Apparatus for cyclical depositing of thin films |
Publications (1)
Publication Number | Publication Date |
---|---|
US20070095285A1 true US20070095285A1 (en) | 2007-05-03 |
Family
ID=27663006
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/352,257 Expired - Fee Related US7175713B2 (en) | 2002-01-25 | 2003-01-27 | Apparatus for cyclical deposition of thin films |
US11/612,931 Abandoned US20070095285A1 (en) | 2002-01-25 | 2006-12-19 | Apparatus for cyclical depositing of thin films |
US12/261,487 Expired - Fee Related US8123860B2 (en) | 2002-01-25 | 2008-10-30 | Apparatus for cyclical depositing of thin films |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/352,257 Expired - Fee Related US7175713B2 (en) | 2002-01-25 | 2003-01-27 | Apparatus for cyclical deposition of thin films |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/261,487 Expired - Fee Related US8123860B2 (en) | 2002-01-25 | 2008-10-30 | Apparatus for cyclical depositing of thin films |
Country Status (3)
Country | Link |
---|---|
US (3) | US7175713B2 (en) |
AU (1) | AU2003238853A1 (en) |
WO (1) | WO2003065424A2 (en) |
Cited By (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060225655A1 (en) * | 2005-03-31 | 2006-10-12 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US20070003698A1 (en) * | 2001-10-26 | 2007-01-04 | Ling Chen | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US20080041313A1 (en) * | 2001-10-26 | 2008-02-21 | Ling Chen | Gas delivery apparatus for atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080107809A1 (en) * | 2001-10-26 | 2008-05-08 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080202416A1 (en) * | 2006-01-19 | 2008-08-28 | Provencher Timothy J | High temperature ALD inlet manifold |
US20080202425A1 (en) * | 2007-01-29 | 2008-08-28 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US20090056626A1 (en) * | 2002-01-25 | 2009-03-05 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US20090133837A1 (en) * | 2004-02-25 | 2009-05-28 | Advanced Display Process Engineering Co., Ltd. | Apparatus for manufacturing flat-panel display |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US20100108263A1 (en) * | 2008-10-30 | 2010-05-06 | Applied Materials, Inc. | Extended chamber liner for improved mean time between cleanings of process chambers |
US7781326B2 (en) | 2001-02-02 | 2010-08-24 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7794544B2 (en) | 2004-05-12 | 2010-09-14 | Applied Materials, Inc. | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
WO2010123877A2 (en) * | 2009-04-21 | 2010-10-28 | Applied Materials, Inc. | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US7867896B2 (en) | 2002-03-04 | 2011-01-11 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7892602B2 (en) | 2001-12-07 | 2011-02-22 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US8070879B2 (en) | 2002-11-14 | 2011-12-06 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US20130126092A1 (en) * | 2011-11-21 | 2013-05-23 | Lam Research Corporation | Plasma Processing Assemblies Including Hinge Assemblies |
US20150187624A1 (en) * | 2013-12-31 | 2015-07-02 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9324594B2 (en) | 2010-12-22 | 2016-04-26 | Brooks Automation, Inc. | Workpiece handling modules |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US10651016B2 (en) * | 2017-03-15 | 2020-05-12 | Hermes-Epitek Corporation | Detachable gas injector used for semiconductor equipment |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
US11830731B2 (en) | 2019-10-22 | 2023-11-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor manifolds |
Families Citing this family (168)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101004199B1 (en) * | 2001-02-09 | 2010-12-24 | 도쿄엘렉트론가부시키가이샤 | Film forming device |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
JP4121269B2 (en) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | Plasma CVD apparatus and method for performing self-cleaning |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US7160577B2 (en) | 2002-05-02 | 2007-01-09 | Micron Technology, Inc. | Methods for atomic-layer deposition of aluminum oxides in integrated circuits |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
JP2005536890A (en) * | 2002-08-26 | 2005-12-02 | 東京エレクトロン株式会社 | Volume-reduced plasma reactor |
US7037863B2 (en) * | 2002-09-10 | 2006-05-02 | Samsung Electronics Co., Ltd. | Post thermal treatment methods of forming high dielectric layers over interfacial layers in integrated circuit devices |
KR100536797B1 (en) * | 2002-12-17 | 2005-12-14 | 동부아남반도체 주식회사 | Chemical vapor deposition apparatus |
US20040177813A1 (en) | 2003-03-12 | 2004-09-16 | Applied Materials, Inc. | Substrate support lift mechanism |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US20050095859A1 (en) * | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050109276A1 (en) * | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US20050150452A1 (en) * | 2004-01-14 | 2005-07-14 | Soovo Sen | Process kit design for deposition chamber |
US20050229849A1 (en) * | 2004-02-13 | 2005-10-20 | Applied Materials, Inc. | High productivity plasma processing chamber |
US20050221618A1 (en) * | 2004-03-31 | 2005-10-06 | Amrhein Frederick J | System for controlling a plenum output flow geometry |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US8119210B2 (en) * | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US7572337B2 (en) | 2004-05-26 | 2009-08-11 | Applied Materials, Inc. | Blocker plate bypass to distribute gases in a chemical vapor deposition system |
US7622005B2 (en) | 2004-05-26 | 2009-11-24 | Applied Materials, Inc. | Uniformity control for low flow process and chamber to chamber matching |
DE602005016933D1 (en) * | 2004-06-28 | 2009-11-12 | Cambridge Nanotech Inc | ATOMIC SEPARATION SYSTEM AND METHOD |
KR101063737B1 (en) * | 2004-07-09 | 2011-09-08 | 주성엔지니어링(주) | Shower Head of Substrate Manufacturing Equipment |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
US7601649B2 (en) | 2004-08-02 | 2009-10-13 | Micron Technology, Inc. | Zirconium-doped tantalum oxide films |
JP4570659B2 (en) * | 2004-08-04 | 2010-10-27 | インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ | Remote plasma atomic layer deposition apparatus and method using DC bias |
US7081421B2 (en) | 2004-08-26 | 2006-07-25 | Micron Technology, Inc. | Lanthanide oxide dielectric layer |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7560395B2 (en) | 2005-01-05 | 2009-07-14 | Micron Technology, Inc. | Atomic layer deposited hafnium tantalum oxide dielectrics |
WO2006078666A2 (en) * | 2005-01-18 | 2006-07-27 | Asm America, Inc. | Reaction system for growing a thin film |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US8974868B2 (en) * | 2005-03-21 | 2015-03-10 | Tokyo Electron Limited | Post deposition plasma cleaning system and method |
US7435454B2 (en) * | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7341959B2 (en) * | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US7572695B2 (en) | 2005-05-27 | 2009-08-11 | Micron Technology, Inc. | Hafnium titanium oxide films |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7927948B2 (en) | 2005-07-20 | 2011-04-19 | Micron Technology, Inc. | Devices with nanocrystals and methods of formation |
KR20080034157A (en) * | 2005-07-29 | 2008-04-18 | 에비자 테크놀로지, 인크. | Gas manifold valve cluster |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US20070082507A1 (en) * | 2005-10-06 | 2007-04-12 | Applied Materials, Inc. | Method and apparatus for the low temperature deposition of doped silicon nitride films |
US7464917B2 (en) | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US20070125303A1 (en) * | 2005-12-02 | 2007-06-07 | Ward Ruby | High-throughput deposition system for oxide thin film growth by reactive coevaportation |
US20070128861A1 (en) * | 2005-12-05 | 2007-06-07 | Kim Myoung S | CVD apparatus for depositing polysilicon |
US7833437B2 (en) * | 2006-01-26 | 2010-11-16 | Global Tungsten & Powders Corp. | Moisture-resistant electroluminescent phosphor with high initial brightness and method of making |
US8298666B2 (en) * | 2006-01-26 | 2012-10-30 | Global Tungsten & Powders Corp. | Moisture resistant electroluminescent phosphor with high initial brightness and method of making |
US7645710B2 (en) * | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7678710B2 (en) * | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) * | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
US20070224708A1 (en) * | 2006-03-21 | 2007-09-27 | Sowmya Krishnan | Mass pulse sensor and process-gas system and method |
US7674337B2 (en) | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US20070289534A1 (en) * | 2006-05-30 | 2007-12-20 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7790634B2 (en) * | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070277734A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US7498273B2 (en) * | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7825038B2 (en) * | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7501355B2 (en) * | 2006-06-29 | 2009-03-10 | Applied Materials, Inc. | Decreasing the etch rate of silicon nitride by carbon addition |
KR100799735B1 (en) * | 2006-07-10 | 2008-02-01 | 삼성전자주식회사 | Method of forming metal oxide and apparatus for performing the same |
WO2008013665A2 (en) * | 2006-07-21 | 2008-01-31 | The Boc Group, Inc. | Methods and apparatus for the vaporization and delivery of solution precursors for atomic layer deposition |
WO2008016650A2 (en) | 2006-07-31 | 2008-02-07 | Applied Materials, Inc. | Methods of forming carbon-containing silicon epitaxial layers |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
JP5590886B2 (en) * | 2006-09-26 | 2014-09-17 | アプライド マテリアルズ インコーポレイテッド | Fluorine plasma treatment for high-K gate stacks for defect passivation |
US7775508B2 (en) | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US20080145536A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION |
US9157152B2 (en) * | 2007-03-29 | 2015-10-13 | Tokyo Electron Limited | Vapor deposition system |
US7745352B2 (en) * | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7803722B2 (en) * | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7943531B2 (en) * | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7867923B2 (en) * | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7964040B2 (en) * | 2007-11-08 | 2011-06-21 | Applied Materials, Inc. | Multi-port pumping system for substrate processing chambers |
US20090120368A1 (en) * | 2007-11-08 | 2009-05-14 | Applied Materials, Inc. | Rotating temperature controlled substrate pedestal for film uniformity |
US8075728B2 (en) * | 2008-02-28 | 2011-12-13 | Applied Materials, Inc. | Gas flow equalizer plate suitable for use in a substrate process chamber |
US20090277587A1 (en) * | 2008-05-09 | 2009-11-12 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7699935B2 (en) | 2008-06-19 | 2010-04-20 | Applied Materials, Inc. | Method and system for supplying a cleaning gas into a process chamber |
EP2151509A1 (en) * | 2008-08-04 | 2010-02-10 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
US20100098851A1 (en) * | 2008-10-20 | 2010-04-22 | Varian Semiconductor Equipment Associates, Inc. | Techniques for atomic layer deposition |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US20100183825A1 (en) * | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US7935643B2 (en) | 2009-08-06 | 2011-05-03 | Applied Materials, Inc. | Stress management for tensile films |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
KR20120111738A (en) | 2009-12-30 | 2012-10-10 | 어플라이드 머티어리얼스, 인코포레이티드 | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
WO2011084812A2 (en) | 2010-01-06 | 2011-07-14 | Applied Materials, Inc. | Flowable dielectric using oxide liner |
SG182333A1 (en) | 2010-01-07 | 2012-08-30 | Applied Materials Inc | In-situ ozone cure for radical-component cvd |
CN102844848A (en) | 2010-03-05 | 2012-12-26 | 应用材料公司 | Conformal layers by radical-component cvd |
US8236708B2 (en) | 2010-03-09 | 2012-08-07 | Applied Materials, Inc. | Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor |
US7994019B1 (en) | 2010-04-01 | 2011-08-09 | Applied Materials, Inc. | Silicon-ozone CVD with reduced pattern loading using incubation period deposition |
US8476142B2 (en) | 2010-04-12 | 2013-07-02 | Applied Materials, Inc. | Preferential dielectric gapfill |
US20110256692A1 (en) * | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
US9004006B2 (en) * | 2010-04-28 | 2015-04-14 | Applied Materials, Inc. | Process chamber lid design with built-in plasma source for short lifetime species |
US8524004B2 (en) | 2010-06-16 | 2013-09-03 | Applied Materials, Inc. | Loadlock batch ozone cure |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
WO2012031192A1 (en) * | 2010-09-03 | 2012-03-08 | First Solar, Inc. | Deposition system |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US20120180954A1 (en) | 2011-01-18 | 2012-07-19 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8445078B2 (en) | 2011-04-20 | 2013-05-21 | Applied Materials, Inc. | Low temperature silicon oxide conversion |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9109754B2 (en) | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US8955547B2 (en) | 2011-10-19 | 2015-02-17 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
TW201425637A (en) * | 2012-11-06 | 2014-07-01 | Applied Materials Inc | Apparatus for spatial atomic layer deposition with recirculation and methods of use |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9275869B2 (en) * | 2013-08-02 | 2016-03-01 | Lam Research Corporation | Fast-gas switching for etching |
US9637415B2 (en) | 2013-10-24 | 2017-05-02 | Surmet Corporation | Method of making high purity polycrystalline aluminum oxynitride bodies useful in semiconductor process chambers |
US11414759B2 (en) * | 2013-11-29 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd | Mechanisms for supplying process gas into wafer process apparatus |
US9353440B2 (en) | 2013-12-20 | 2016-05-31 | Applied Materials, Inc. | Dual-direction chemical delivery system for ALD/CVD chambers |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
JP6446881B2 (en) * | 2014-07-17 | 2019-01-09 | 東京エレクトロン株式会社 | Gas supply device and valve device |
US9460915B2 (en) | 2014-09-12 | 2016-10-04 | Lam Research Corporation | Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges |
TWI676709B (en) * | 2015-01-22 | 2019-11-11 | 美商應用材料股份有限公司 | Atomic layer deposition of films using spatially separated injector chamber |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
JP6398761B2 (en) * | 2015-02-04 | 2018-10-03 | 東京エレクトロン株式会社 | Substrate processing equipment |
US9435677B1 (en) * | 2015-03-12 | 2016-09-06 | Diamond Shine, Inc. | Liquid containment and measurement apparatus and method |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
WO2016209886A1 (en) * | 2015-06-22 | 2016-12-29 | University Of South Carolina | MOCVD SYSTEM INJECTOR FOR FAST GROWTH OF AlInGaBN MATERIAL |
US20170211180A1 (en) * | 2016-01-22 | 2017-07-27 | Silcotek Corp. | Diffusion-rate-limited thermal chemical vapor deposition coating |
US10256075B2 (en) * | 2016-01-22 | 2019-04-09 | Applied Materials, Inc. | Gas splitting by time average injection into different zones by fast gas valves |
US9896762B1 (en) * | 2016-12-16 | 2018-02-20 | Asm Ip Holding B.V. | Method of depositing and etching film in one processing apparatus |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10636629B2 (en) * | 2017-10-05 | 2020-04-28 | Applied Materials, Inc. | Split slit liner door |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10818479B2 (en) * | 2017-11-12 | 2020-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Grounding cap module, gas injection device and etching apparatus |
KR20200106090A (en) | 2018-01-31 | 2020-09-10 | 램 리써치 코포레이션 | Manifold valve for multiple precursors |
US10679870B2 (en) * | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US11028480B2 (en) | 2018-03-19 | 2021-06-08 | Applied Materials, Inc. | Methods of protecting metallic components against corrosion using chromium-containing thin films |
US11015252B2 (en) | 2018-04-27 | 2021-05-25 | Applied Materials, Inc. | Protection of components from corrosion |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
TWI848974B (en) | 2018-09-14 | 2024-07-21 | 美商應用材料股份有限公司 | Apparatus for multi-flow precursor dosage |
JP6966499B2 (en) * | 2019-03-06 | 2021-11-17 | Ckd株式会社 | Gas supply unit and gas supply method |
KR20210138119A (en) | 2019-04-08 | 2021-11-18 | 어플라이드 머티어리얼스, 인코포레이티드 | Methods for Modifying Photoresist Profiles and Tuning Critical Dimensions |
EP3959356A4 (en) | 2019-04-26 | 2023-01-18 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
JP7285152B2 (en) * | 2019-07-08 | 2023-06-01 | 東京エレクトロン株式会社 | Plasma processing equipment |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11881384B2 (en) * | 2019-09-27 | 2024-01-23 | Applied Materials, Inc. | Monolithic modular microwave source with integrated process gas distribution |
US11205589B2 (en) * | 2019-10-06 | 2021-12-21 | Applied Materials, Inc. | Methods and apparatuses for forming interconnection structures |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
EP4175772A4 (en) | 2020-07-03 | 2024-08-28 | Applied Materials Inc | Methods for refurbishing aerospace components |
US20220084845A1 (en) * | 2020-09-17 | 2022-03-17 | Applied Materials, Inc. | High conductance process kit |
US20220328293A1 (en) * | 2021-04-13 | 2022-10-13 | Applied Materials, Inc. | Isolator for processing chambers |
JP2023161122A (en) * | 2022-04-25 | 2023-11-07 | キオクシア株式会社 | Film forming device and film forming method |
Citations (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4389973A (en) * | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4834831A (en) * | 1986-09-08 | 1989-05-30 | Research Development Corporation Of Japan | Method for growing single crystal thin films of element semiconductor |
US4993357A (en) * | 1987-12-23 | 1991-02-19 | Cs Halbleiter -Und Solartechnologie Gmbh | Apparatus for atomic layer epitaxial growth |
US5281274A (en) * | 1990-06-22 | 1994-01-25 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors |
US5294286A (en) * | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5411703A (en) * | 1993-06-16 | 1995-05-02 | International Business Machines Corporation | Lead-free, tin, antimony, bismtuh, copper solder alloy |
US5480818A (en) * | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5483919A (en) * | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
US5503875A (en) * | 1993-03-18 | 1996-04-02 | Tokyo Electron Limited | Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily |
US5711811A (en) * | 1994-11-28 | 1998-01-27 | Mikrokemia Oy | Method and equipment for growing thin films |
US5730802A (en) * | 1994-05-20 | 1998-03-24 | Sharp Kabushiki Kaisha | Vapor growth apparatus and vapor growth method capable of growing good productivity |
US5855680A (en) * | 1994-11-28 | 1999-01-05 | Neste Oy | Apparatus for growing thin films |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6015590A (en) * | 1994-11-28 | 2000-01-18 | Neste Oy | Method for growing thin films |
US6043177A (en) * | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US6042652A (en) * | 1999-05-01 | 2000-03-28 | P.K. Ltd | Atomic layer deposition apparatus for depositing atomic layer on multiple substrates |
US6071572A (en) * | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US6174809B1 (en) * | 1997-12-31 | 2001-01-16 | Samsung Electronics, Co., Ltd. | Method for forming metal layer using atomic layer deposition |
US6176198B1 (en) * | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6183563B1 (en) * | 1998-05-18 | 2001-02-06 | Ips Ltd. | Apparatus for depositing thin films on semiconductor wafers |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6207302B1 (en) * | 1997-03-04 | 2001-03-27 | Denso Corporation | Electroluminescent device and method of producing the same |
US6207487B1 (en) * | 1998-10-13 | 2001-03-27 | Samsung Electronics Co., Ltd. | Method for forming dielectric film of capacitor having different thicknesses partly |
US20010000866A1 (en) * | 1999-03-11 | 2001-05-10 | Ofer Sneh | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6231672B1 (en) * | 1998-05-18 | 2001-05-15 | Ips Ltd. | Apparatus for depositing thin films on semiconductor wafer by continuous gas injection |
US6335240B1 (en) * | 1998-01-06 | 2002-01-01 | Samsung Electronics Co., Ltd. | Capacitor for a semiconductor device and method for forming the same |
US20020000598A1 (en) * | 1999-12-08 | 2002-01-03 | Sang-Bom Kang | Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors |
US20020000196A1 (en) * | 2000-06-24 | 2002-01-03 | Park Young-Hoon | Reactor for depositing thin film on wafer |
US20020009544A1 (en) * | 1999-08-20 | 2002-01-24 | Mcfeely F. Read | Delivery systems for gases for gases via the sublimation of solid precursors |
US20020007790A1 (en) * | 2000-07-22 | 2002-01-24 | Park Young-Hoon | Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method |
US20020009896A1 (en) * | 1996-05-31 | 2002-01-24 | Sandhu Gurtej S. | Chemical vapor deposition using organometallic precursors |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US20020017242A1 (en) * | 2000-05-25 | 2002-02-14 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Inner tube for CVD apparatus |
US20020021544A1 (en) * | 2000-08-11 | 2002-02-21 | Hag-Ju Cho | Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same |
US6358829B2 (en) * | 1998-09-17 | 2002-03-19 | Samsung Electronics Company., Ltd. | Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer |
US20020048635A1 (en) * | 1998-10-16 | 2002-04-25 | Kim Yeong-Kwan | Method for manufacturing thin film |
US20020052097A1 (en) * | 2000-06-24 | 2002-05-02 | Park Young-Hoon | Apparatus and method for depositing thin film on wafer using atomic layer deposition |
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
US20020066411A1 (en) * | 2000-12-06 | 2002-06-06 | Chiang Tony P. | Method and apparatus for improved temperature control in atomic layer deposition |
US20030004723A1 (en) * | 2001-06-26 | 2003-01-02 | Keiichi Chihara | Method of controlling high-speed reading in a text-to-speech conversion system |
US20030010451A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20030013320A1 (en) * | 2001-05-31 | 2003-01-16 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US20030015764A1 (en) * | 2001-06-21 | 2003-01-23 | Ivo Raaijmakers | Trench isolation for integrated circuit |
US20030017697A1 (en) * | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US20030023338A1 (en) * | 2001-07-27 | 2003-01-30 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US20030032281A1 (en) * | 2000-03-07 | 2003-02-13 | Werkhoven Christiaan J. | Graded thin films |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US20030049942A1 (en) * | 2001-08-31 | 2003-03-13 | Suvi Haukka | Low temperature gate stack |
US20030053799A1 (en) * | 2001-09-14 | 2003-03-20 | Lei Lawrence C. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030057527A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030072975A1 (en) * | 2001-10-02 | 2003-04-17 | Shero Eric J. | Incorporation of nitrogen into high k dielectric film |
US20030072913A1 (en) * | 2001-10-12 | 2003-04-17 | Kuang-Chun Chou | Substrate strip with sides having flanges and recesses |
US6551406B2 (en) * | 1999-12-28 | 2003-04-22 | Asm Microchemistry Oy | Apparatus for growing thin films |
US20030075925A1 (en) * | 2001-07-03 | 2003-04-24 | Sven Lindfors | Source chemical container assembly |
US20030075273A1 (en) * | 2001-08-15 | 2003-04-24 | Olli Kilpela | Atomic layer deposition reactor |
US20030079696A1 (en) * | 2001-10-30 | 2003-05-01 | Parker Bankston | Tag and release device |
US20030079686A1 (en) * | 2001-10-26 | 2003-05-01 | Ling Chen | Gas delivery apparatus and method for atomic layer deposition |
US20030089942A1 (en) * | 2001-11-09 | 2003-05-15 | Micron Technology, Inc. | Scalable gate and storage dielectric |
US20040005749A1 (en) * | 2002-07-02 | 2004-01-08 | Choi Gil-Heyun | Methods of forming dual gate semiconductor devices having a metal nitride layer |
US20040009307A1 (en) * | 2000-06-08 | 2004-01-15 | Won-Yong Koh | Thin film forming method |
US20040011404A1 (en) * | 2002-07-19 | 2004-01-22 | Ku Vincent W | Valve design and configuration for fast delivery system |
US20040015300A1 (en) * | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
US20040011464A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection |
US20040013577A1 (en) * | 2002-07-17 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for providing gas to a processing chamber |
US20040011504A1 (en) * | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040018747A1 (en) * | 2002-07-20 | 2004-01-29 | Lee Jung-Hyun | Deposition method of a dielectric layer |
US20040016404A1 (en) * | 2002-07-23 | 2004-01-29 | John Gregg | Vaporizer delivery ampoule |
US20040025370A1 (en) * | 2002-07-29 | 2004-02-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US20040028952A1 (en) * | 2002-06-10 | 2004-02-12 | Interuniversitair Microelektronica Centrum (Imec Vzw) | High dielectric constant composition and method of making same |
US20040033698A1 (en) * | 2002-08-17 | 2004-02-19 | Lee Yun-Jung | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US20040043630A1 (en) * | 2002-08-28 | 2004-03-04 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US20040046197A1 (en) * | 2002-05-16 | 2004-03-11 | Cem Basceri | MIS capacitor and method of formation |
US20040048491A1 (en) * | 2002-09-10 | 2004-03-11 | Hyung-Suk Jung | Post thermal treatment methods of forming high dielectric layers in integrated circuit devices |
US20040051152A1 (en) * | 2002-09-13 | 2004-03-18 | Semiconductor Technology Academic Research Center | Semiconductor device and method for manufacturing same |
US20040053484A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6716287B1 (en) * | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US20040071897A1 (en) * | 2002-10-11 | 2004-04-15 | Applied Materials, Inc. | Activated species generator for rapid cycle deposition processes |
US6734020B2 (en) * | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US20050006799A1 (en) * | 2002-07-23 | 2005-01-13 | Gregg John N. | Method and apparatus to help promote contact of gas with vaporized material |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US20050059240A1 (en) * | 2001-07-19 | 2005-03-17 | Kyung-In Choi | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
US6868859B2 (en) * | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US20050095859A1 (en) * | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) * | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
US7204886B2 (en) * | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
Family Cites Families (152)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3854443A (en) | 1973-12-19 | 1974-12-17 | Intel Corp | Gas reactor for depositing thin films |
SE393967B (en) | 1974-11-29 | 1977-05-31 | Sateko Oy | PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE |
FI57975C (en) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY |
US4270999A (en) * | 1979-09-28 | 1981-06-02 | International Business Machines Corporation | Method and apparatus for gas feed control in a dry etching process |
US4415275A (en) | 1981-12-21 | 1983-11-15 | Dietrich David E | Swirl mixing device |
FI64878C (en) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
US4612077A (en) * | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
US4761269A (en) * | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) * | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
DE3721637A1 (en) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS |
FR2628985B1 (en) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | EPITAXY REACTOR WITH WALL PROTECTION |
US5261959A (en) | 1988-05-26 | 1993-11-16 | General Electric Company | Diamond crystal growth apparatus |
JPH0824191B2 (en) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | Thin film transistor |
JP2888253B2 (en) * | 1989-07-20 | 1999-05-10 | 富士通株式会社 | Chemical vapor deposition and apparatus for its implementation |
US5177327A (en) * | 1990-11-16 | 1993-01-05 | Exzec, Inc. | Acoustic touch position sensor using shear wave propagation |
US5178681A (en) | 1991-01-29 | 1993-01-12 | Applied Materials, Inc. | Suspension system for semiconductor reactors |
US5173327A (en) | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5368685A (en) * | 1992-03-24 | 1994-11-29 | Hitachi, Ltd. | Dry etching apparatus and method |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5567267A (en) * | 1992-11-20 | 1996-10-22 | Tokyo Electron Limited | Method of controlling temperature of susceptor |
US5453124A (en) * | 1992-12-30 | 1995-09-26 | Texas Instruments Incorporated | Programmable multizone gas injector for single-wafer semiconductor processing equipment |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3234025B2 (en) | 1993-02-24 | 2001-12-04 | 日本インテック株式会社 | Electrolyzed water generator |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5484484A (en) * | 1993-07-03 | 1996-01-16 | Tokyo Electron Kabushiki | Thermal processing method and apparatus therefor |
US5578132A (en) * | 1993-07-07 | 1996-11-26 | Tokyo Electron Kabushiki Kaisha | Apparatus for heat treating semiconductors at normal pressure and low pressure |
JP2889098B2 (en) * | 1993-10-13 | 1999-05-10 | 株式会社本山製作所 | Specific gas supply control device |
GB9410567D0 (en) * | 1994-05-26 | 1994-07-13 | Philips Electronics Uk Ltd | Plasma treatment and apparatus in electronic device manufacture |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
TW356554B (en) * | 1995-10-23 | 1999-04-21 | Watkins Johnson Co | Gas injection system for semiconductor processing |
US5976261A (en) | 1996-07-11 | 1999-11-02 | Cvc Products, Inc. | Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment |
DE19630522C2 (en) * | 1996-07-29 | 2002-10-02 | Freudenberg Carl Kg | Process for producing a pleatable filter medium and device for carrying out the process |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US5923056A (en) | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
WO1998023788A1 (en) * | 1996-11-27 | 1998-06-04 | Emcore Corporation | Chemical vapor deposition apparatus |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6055927A (en) * | 1997-01-14 | 2000-05-02 | Applied Komatsu Technology, Inc. | Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology |
FI972874A0 (en) | 1997-07-04 | 1997-07-04 | Mikrokemia Oy | Foerfarande och anordning Foer framstaellning av tunnfilmer |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (en) | 1997-07-31 | 2000-10-16 | 윤종용 | Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof |
KR100261017B1 (en) | 1997-08-19 | 2000-08-01 | 윤종용 | Method for forming metal wiring of semiconductor device |
KR100274603B1 (en) | 1997-10-01 | 2001-01-15 | 윤종용 | Method and apparatus for fabricating semiconductor device |
KR100252049B1 (en) | 1997-11-18 | 2000-04-15 | 윤종용 | The atomic layer deposition method for fabricating aluminum layer |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
FI104383B (en) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Procedure for coating the inside of a plant |
US6022483A (en) * | 1998-03-10 | 2000-02-08 | Intergrated Systems, Inc. | System and method for controlling pressure |
NL1009327C2 (en) | 1998-06-05 | 1999-12-10 | Asm Int | Method and device for transferring wafers. |
JP2000031387A (en) | 1998-07-14 | 2000-01-28 | Fuji Electric Co Ltd | Manufacture of dielectric thin film capacitor |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
KR20000013654A (en) | 1998-08-12 | 2000-03-06 | 윤종용 | Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
KR100331544B1 (en) * | 1999-01-18 | 2002-04-06 | 윤종용 | Method for introducing gases into a reactor chamber and a shower head used therein |
JP2000306884A (en) * | 1999-04-22 | 2000-11-02 | Mitsubishi Electric Corp | Apparatus and method for plasma treatment |
US20030232554A1 (en) | 1999-05-04 | 2003-12-18 | Blum Ronald D. | Multi-layer tacky and water-absorbing shoe-cleaning product |
FI118342B (en) | 1999-05-10 | 2007-10-15 | Asm Int | Apparatus for making thin films |
JP4291916B2 (en) | 1999-05-24 | 2009-07-08 | プレス工業株式会社 | Toothed ring and method for forming convex teeth thereof |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
KR100319494B1 (en) | 1999-07-15 | 2002-01-09 | 김용일 | Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process |
KR20010017820A (en) | 1999-08-14 | 2001-03-05 | 윤종용 | Semiconductor device and manufacturing method thereof |
TW515032B (en) | 1999-10-06 | 2002-12-21 | Samsung Electronics Co Ltd | Method of forming thin film using atomic layer deposition method |
FI117942B (en) | 1999-10-14 | 2007-04-30 | Asm Int | Process for making oxide thin films |
JP4523094B2 (en) * | 1999-10-19 | 2010-08-11 | 東京エレクトロン株式会社 | Plasma processing method |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
KR100330749B1 (en) | 1999-12-17 | 2002-04-03 | 서성기 | Thin film deposition apparatus for semiconductor |
FI118343B (en) | 1999-12-28 | 2007-10-15 | Asm Int | Apparatus for making thin films |
JP4362919B2 (en) | 2000-02-04 | 2009-11-11 | 株式会社デンソー | Deposition method by atomic layer epitaxial growth method |
KR100378871B1 (en) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | showerhead apparatus for radical assisted deposition |
US7060132B2 (en) | 2000-04-14 | 2006-06-13 | Asm International N.V. | Method and apparatus of growing a thin film |
TW496907B (en) | 2000-04-14 | 2002-08-01 | Asm Microchemistry Oy | Method and apparatus of growing a thin film onto a substrate |
TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
KR100363088B1 (en) * | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | Method of manufacturing barrier metal layer using atomic layer deposition method |
FI118805B (en) | 2000-05-15 | 2008-03-31 | Asm Int | A method and configuration for introducing a gas phase reactant into a reaction chamber |
JP2001328900A (en) | 2000-05-15 | 2001-11-27 | Denso Corp | Method for forming thin film |
KR100647442B1 (en) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | Method of forming a thin film using atomic layer deposition |
KR100403611B1 (en) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | Metal-insulator-metal capacitor and manufacturing method thereof |
US6863019B2 (en) * | 2000-06-13 | 2005-03-08 | Applied Materials, Inc. | Semiconductor device fabrication chamber cleaning method and apparatus with recirculation of cleaning gas |
FI20001694A0 (en) | 2000-07-20 | 2000-07-20 | Asm Microchemistry Oy | A method for growing a thin film on a substrate |
US6302965B1 (en) * | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
US6446572B1 (en) * | 2000-08-18 | 2002-09-10 | Tokyo Electron Limited | Embedded plasma source for plasma density improvement |
US6660660B2 (en) | 2000-10-10 | 2003-12-09 | Asm International, Nv. | Methods for making a dielectric stack in an integrated circuit |
FI118014B (en) | 2000-10-23 | 2007-05-31 | Asm Int | Process for making alumina thin films at low temperatures |
KR100436941B1 (en) | 2000-11-07 | 2004-06-23 | 주성엔지니어링(주) | apparatus and method for depositing thin film |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
EP1340269B1 (en) | 2000-11-30 | 2009-02-25 | Asm International N.V. | Thin films for magnetic devices |
KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
KR100386034B1 (en) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide |
JP2002172835A (en) * | 2000-12-08 | 2002-06-18 | Fuji Photo Film Co Ltd | Image recorder and shading collecting method using it |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020076507A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR20020049875A (en) * | 2000-12-20 | 2002-06-26 | 윤종용 | Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same |
JP3963078B2 (en) | 2000-12-25 | 2007-08-22 | 株式会社高純度化学研究所 | Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same |
KR20020056260A (en) | 2000-12-29 | 2002-07-10 | 박종섭 | Method for forming metal gate of semiconductor devoie |
KR100434487B1 (en) | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | Shower head & film forming apparatus having the same |
US6844604B2 (en) | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
US6613656B2 (en) * | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) * | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
EP1300887B1 (en) * | 2001-04-02 | 2007-05-23 | Matsushita Electric Industrial Co., Ltd. | Method of manufacturing a semiconductor device |
US20020144655A1 (en) * | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20030019428A1 (en) * | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6759081B2 (en) | 2001-05-11 | 2004-07-06 | Asm International, N.V. | Method of depositing thin films for magnetic heads |
KR100363332B1 (en) | 2001-05-23 | 2002-12-05 | Samsung Electronics Co Ltd | Method for forming semiconductor device having gate all-around type transistor |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US7049049B2 (en) * | 2001-06-27 | 2006-05-23 | University Of South Florida | Maskless photolithography for using photoreactive agents |
US20030198754A1 (en) * | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
TW540222B (en) * | 2001-10-16 | 2003-07-01 | Benq Corp | Scanner simultaneously using external power supply and USB bus power |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
JP2003158080A (en) * | 2001-11-22 | 2003-05-30 | Mitsubishi Electric Corp | Semiconductor manufacturing device, deposit removing method therein and manufacturing method for semiconductor device |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US6696332B2 (en) * | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US7175713B2 (en) * | 2002-01-25 | 2007-02-13 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US7063981B2 (en) | 2002-01-30 | 2006-06-20 | Asm International N.V. | Active pulse monitoring in a chemical reactor |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6753618B2 (en) * | 2002-03-11 | 2004-06-22 | Micron Technology, Inc. | MIM capacitor with metal nitride electrode materials and method of formation |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
JP3937892B2 (en) * | 2002-04-01 | 2007-06-27 | 日本電気株式会社 | Thin film forming method and semiconductor device manufacturing method |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6875271B2 (en) * | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6932871B2 (en) * | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US6778762B1 (en) | 2002-04-17 | 2004-08-17 | Novellus Systems, Inc. | Sloped chamber top for substrate processing |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
KR100505043B1 (en) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | Method for forming a capacitor |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7910165B2 (en) * | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
US7081409B2 (en) | 2002-07-17 | 2006-07-25 | Samsung Electronics Co., Ltd. | Methods of producing integrated circuit devices utilizing tantalum amine derivatives |
JP3861036B2 (en) * | 2002-08-09 | 2006-12-20 | 三菱重工業株式会社 | Plasma CVD equipment |
US6784096B2 (en) * | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) * | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US6994319B2 (en) * | 2003-01-29 | 2006-02-07 | Applied Materials, Inc. | Membrane gas valve for pulsing a gas |
US6818094B2 (en) | 2003-01-29 | 2004-11-16 | Applied Materials, Inc. | Reciprocating gas valve for pulsing a gas |
WO2004113585A2 (en) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
US7323230B2 (en) * | 2004-08-02 | 2008-01-29 | Applied Materials, Inc. | Coating for aluminum component |
-
2003
- 2003-01-27 US US10/352,257 patent/US7175713B2/en not_active Expired - Fee Related
- 2003-01-27 AU AU2003238853A patent/AU2003238853A1/en not_active Abandoned
- 2003-01-27 WO PCT/US2003/002408 patent/WO2003065424A2/en not_active Application Discontinuation
-
2006
- 2006-12-19 US US11/612,931 patent/US20070095285A1/en not_active Abandoned
-
2008
- 2008-10-30 US US12/261,487 patent/US8123860B2/en not_active Expired - Fee Related
Patent Citations (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4389973A (en) * | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US5294286A (en) * | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
US4834831A (en) * | 1986-09-08 | 1989-05-30 | Research Development Corporation Of Japan | Method for growing single crystal thin films of element semiconductor |
US4993357A (en) * | 1987-12-23 | 1991-02-19 | Cs Halbleiter -Und Solartechnologie Gmbh | Apparatus for atomic layer epitaxial growth |
US5281274A (en) * | 1990-06-22 | 1994-01-25 | The United States Of America As Represented By The Secretary Of The Navy | Atomic layer epitaxy (ALE) apparatus for growing thin films of elemental semiconductors |
US5483919A (en) * | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
US5480818A (en) * | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5503875A (en) * | 1993-03-18 | 1996-04-02 | Tokyo Electron Limited | Film forming method wherein a partial pressure of a reaction byproduct in a processing container is reduced temporarily |
US5411703A (en) * | 1993-06-16 | 1995-05-02 | International Business Machines Corporation | Lead-free, tin, antimony, bismtuh, copper solder alloy |
US5730802A (en) * | 1994-05-20 | 1998-03-24 | Sharp Kabushiki Kaisha | Vapor growth apparatus and vapor growth method capable of growing good productivity |
US5711811A (en) * | 1994-11-28 | 1998-01-27 | Mikrokemia Oy | Method and equipment for growing thin films |
US5855680A (en) * | 1994-11-28 | 1999-01-05 | Neste Oy | Apparatus for growing thin films |
US20020041931A1 (en) * | 1994-11-28 | 2002-04-11 | Tuomo Suntola | Method for growing thin films |
US6015590A (en) * | 1994-11-28 | 2000-01-18 | Neste Oy | Method for growing thin films |
US20020009896A1 (en) * | 1996-05-31 | 2002-01-24 | Sandhu Gurtej S. | Chemical vapor deposition using organometallic precursors |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US20020031618A1 (en) * | 1996-08-16 | 2002-03-14 | Arthur Sherman | Sequential chemical vapor deposition |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6071572A (en) * | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US6043177A (en) * | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US6207302B1 (en) * | 1997-03-04 | 2001-03-27 | Denso Corporation | Electroluminescent device and method of producing the same |
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6174809B1 (en) * | 1997-12-31 | 2001-01-16 | Samsung Electronics, Co., Ltd. | Method for forming metal layer using atomic layer deposition |
US6335240B1 (en) * | 1998-01-06 | 2002-01-01 | Samsung Electronics Co., Ltd. | Capacitor for a semiconductor device and method for forming the same |
US6183563B1 (en) * | 1998-05-18 | 2001-02-06 | Ips Ltd. | Apparatus for depositing thin films on semiconductor wafers |
US6231672B1 (en) * | 1998-05-18 | 2001-05-15 | Ips Ltd. | Apparatus for depositing thin films on semiconductor wafer by continuous gas injection |
US6358829B2 (en) * | 1998-09-17 | 2002-03-19 | Samsung Electronics Company., Ltd. | Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer |
US6207487B1 (en) * | 1998-10-13 | 2001-03-27 | Samsung Electronics Co., Ltd. | Method for forming dielectric film of capacitor having different thicknesses partly |
US20020048635A1 (en) * | 1998-10-16 | 2002-04-25 | Kim Yeong-Kwan | Method for manufacturing thin film |
US6176198B1 (en) * | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US20010000866A1 (en) * | 1999-03-11 | 2001-05-10 | Ofer Sneh | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6042652A (en) * | 1999-05-01 | 2000-03-28 | P.K. Ltd | Atomic layer deposition apparatus for depositing atomic layer on multiple substrates |
US20020009544A1 (en) * | 1999-08-20 | 2002-01-24 | Mcfeely F. Read | Delivery systems for gases for gases via the sublimation of solid precursors |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US20030089308A1 (en) * | 1999-09-08 | 2003-05-15 | Ivo Raaijmakers | Apparatus and method for growth of a thin film |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US20020000598A1 (en) * | 1999-12-08 | 2002-01-03 | Sang-Bom Kang | Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors |
US6551406B2 (en) * | 1999-12-28 | 2003-04-22 | Asm Microchemistry Oy | Apparatus for growing thin films |
US20030032281A1 (en) * | 2000-03-07 | 2003-02-13 | Werkhoven Christiaan J. | Graded thin films |
US6534395B2 (en) * | 2000-03-07 | 2003-03-18 | Asm Microchemistry Oy | Method of forming graded thin films using alternating pulses of vapor phase reactants |
US20020017242A1 (en) * | 2000-05-25 | 2002-02-14 | Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) | Inner tube for CVD apparatus |
US20040009307A1 (en) * | 2000-06-08 | 2004-01-15 | Won-Yong Koh | Thin film forming method |
US20020000196A1 (en) * | 2000-06-24 | 2002-01-03 | Park Young-Hoon | Reactor for depositing thin film on wafer |
US20020052097A1 (en) * | 2000-06-24 | 2002-05-02 | Park Young-Hoon | Apparatus and method for depositing thin film on wafer using atomic layer deposition |
US20020007790A1 (en) * | 2000-07-22 | 2002-01-24 | Park Young-Hoon | Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method |
US20020021544A1 (en) * | 2000-08-11 | 2002-02-21 | Hag-Ju Cho | Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same |
US20020066411A1 (en) * | 2000-12-06 | 2002-06-06 | Chiang Tony P. | Method and apparatus for improved temperature control in atomic layer deposition |
US6734020B2 (en) * | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US20030013320A1 (en) * | 2001-05-31 | 2003-01-16 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US20030015764A1 (en) * | 2001-06-21 | 2003-01-23 | Ivo Raaijmakers | Trench isolation for integrated circuit |
US20030004723A1 (en) * | 2001-06-26 | 2003-01-02 | Keiichi Chihara | Method of controlling high-speed reading in a text-to-speech conversion system |
US20030075925A1 (en) * | 2001-07-03 | 2003-04-24 | Sven Lindfors | Source chemical container assembly |
US20030010451A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20050059240A1 (en) * | 2001-07-19 | 2005-03-17 | Kyung-In Choi | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
US20030017697A1 (en) * | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US20030023338A1 (en) * | 2001-07-27 | 2003-01-30 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US20030075273A1 (en) * | 2001-08-15 | 2003-04-24 | Olli Kilpela | Atomic layer deposition reactor |
US20030049942A1 (en) * | 2001-08-31 | 2003-03-13 | Suvi Haukka | Low temperature gate stack |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030053799A1 (en) * | 2001-09-14 | 2003-03-20 | Lei Lawrence C. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030057527A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030072975A1 (en) * | 2001-10-02 | 2003-04-17 | Shero Eric J. | Incorporation of nitrogen into high k dielectric film |
US20030072913A1 (en) * | 2001-10-12 | 2003-04-17 | Kuang-Chun Chou | Substrate strip with sides having flanges and recesses |
US20030079686A1 (en) * | 2001-10-26 | 2003-05-01 | Ling Chen | Gas delivery apparatus and method for atomic layer deposition |
US20030079696A1 (en) * | 2001-10-30 | 2003-05-01 | Parker Bankston | Tag and release device |
US20030089942A1 (en) * | 2001-11-09 | 2003-05-15 | Micron Technology, Inc. | Scalable gate and storage dielectric |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US20040046197A1 (en) * | 2002-05-16 | 2004-03-11 | Cem Basceri | MIS capacitor and method of formation |
US20040028952A1 (en) * | 2002-06-10 | 2004-02-12 | Interuniversitair Microelektronica Centrum (Imec Vzw) | High dielectric constant composition and method of making same |
US20040005749A1 (en) * | 2002-07-02 | 2004-01-08 | Choi Gil-Heyun | Methods of forming dual gate semiconductor devices having a metal nitride layer |
US20040011464A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Promotion of independence between degree of dissociation of reactive gas and the amount of ionization of dilutant gas via diverse gas injection |
US20040013577A1 (en) * | 2002-07-17 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for providing gas to a processing chamber |
US20040011504A1 (en) * | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040014320A1 (en) * | 2002-07-17 | 2004-01-22 | Applied Materials, Inc. | Method and apparatus of generating PDMAT precursor |
US20040011404A1 (en) * | 2002-07-19 | 2004-01-22 | Ku Vincent W | Valve design and configuration for fast delivery system |
US20040018747A1 (en) * | 2002-07-20 | 2004-01-29 | Lee Jung-Hyun | Deposition method of a dielectric layer |
US20040015300A1 (en) * | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
US20040016404A1 (en) * | 2002-07-23 | 2004-01-29 | John Gregg | Vaporizer delivery ampoule |
US20050006799A1 (en) * | 2002-07-23 | 2005-01-13 | Gregg John N. | Method and apparatus to help promote contact of gas with vaporized material |
US20040025370A1 (en) * | 2002-07-29 | 2004-02-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US20040033698A1 (en) * | 2002-08-17 | 2004-02-19 | Lee Yun-Jung | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US20040043630A1 (en) * | 2002-08-28 | 2004-03-04 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US20040048491A1 (en) * | 2002-09-10 | 2004-03-11 | Hyung-Suk Jung | Post thermal treatment methods of forming high dielectric layers in integrated circuit devices |
US20040051152A1 (en) * | 2002-09-13 | 2004-03-18 | Semiconductor Technology Academic Research Center | Semiconductor device and method for manufacturing same |
US20040053484A1 (en) * | 2002-09-16 | 2004-03-18 | Applied Materials, Inc. | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US20040065255A1 (en) * | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US20040071897A1 (en) * | 2002-10-11 | 2004-04-15 | Applied Materials, Inc. | Activated species generator for rapid cycle deposition processes |
US6716287B1 (en) * | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
US7204886B2 (en) * | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US6868859B2 (en) * | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US20050095859A1 (en) * | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) * | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
Cited By (61)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9012334B2 (en) | 2001-02-02 | 2015-04-21 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7781326B2 (en) | 2001-02-02 | 2010-08-24 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US8114789B2 (en) | 2001-02-02 | 2012-02-14 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US20100311237A1 (en) * | 2001-02-02 | 2010-12-09 | Seutter Sean M | Formation of a tantalum-nitride layer |
US8318266B2 (en) | 2001-10-26 | 2012-11-27 | Applied Materials, Inc. | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US8293328B2 (en) | 2001-10-26 | 2012-10-23 | Applied Materials, Inc. | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US20080107809A1 (en) * | 2001-10-26 | 2008-05-08 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080041313A1 (en) * | 2001-10-26 | 2008-02-21 | Ling Chen | Gas delivery apparatus for atomic layer deposition |
US8668776B2 (en) | 2001-10-26 | 2014-03-11 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20070003698A1 (en) * | 2001-10-26 | 2007-01-04 | Ling Chen | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US20080038463A1 (en) * | 2001-10-26 | 2008-02-14 | Applied Materials, Inc. | Atomic layer deposition process |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US7699023B2 (en) | 2001-10-26 | 2010-04-20 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20070026147A1 (en) * | 2001-10-26 | 2007-02-01 | Ling Chen | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US7780788B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7892602B2 (en) | 2001-12-07 | 2011-02-22 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US8123860B2 (en) | 2002-01-25 | 2012-02-28 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US20090056626A1 (en) * | 2002-01-25 | 2009-03-05 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US7867896B2 (en) | 2002-03-04 | 2011-01-11 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US8070879B2 (en) | 2002-11-14 | 2011-12-06 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US8506711B2 (en) * | 2004-02-25 | 2013-08-13 | Advanced Display Process Engineering Co., Ltd. | Apparatus for manufacturing flat-panel display |
US20090133837A1 (en) * | 2004-02-25 | 2009-05-28 | Advanced Display Process Engineering Co., Ltd. | Apparatus for manufacturing flat-panel display |
US8343279B2 (en) | 2004-05-12 | 2013-01-01 | Applied Materials, Inc. | Apparatuses for atomic layer deposition |
US7794544B2 (en) | 2004-05-12 | 2010-09-14 | Applied Materials, Inc. | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8282992B2 (en) | 2004-05-12 | 2012-10-09 | Applied Materials, Inc. | Methods for atomic layer deposition of hafnium-containing high-K dielectric materials |
US8163087B2 (en) * | 2005-03-31 | 2012-04-24 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US20060225655A1 (en) * | 2005-03-31 | 2006-10-12 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US9032906B2 (en) | 2005-11-04 | 2015-05-19 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US20080202416A1 (en) * | 2006-01-19 | 2008-08-28 | Provencher Timothy J | High temperature ALD inlet manifold |
US20110162580A1 (en) * | 2006-01-19 | 2011-07-07 | Asm America, Inc. | High temperature ald inlet manifold |
US7918938B2 (en) * | 2006-01-19 | 2011-04-05 | Asm America, Inc. | High temperature ALD inlet manifold |
US8372201B2 (en) | 2006-01-19 | 2013-02-12 | Asm America, Inc. | High temperature ALD inlet manifold |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US20080202425A1 (en) * | 2007-01-29 | 2008-08-28 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US8043907B2 (en) | 2008-03-31 | 2011-10-25 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US20100108263A1 (en) * | 2008-10-30 | 2010-05-06 | Applied Materials, Inc. | Extended chamber liner for improved mean time between cleanings of process chambers |
US9312154B2 (en) | 2009-04-21 | 2016-04-12 | Applied Materials, Inc. | CVD apparatus for improved film thickness non-uniformity and particle performance |
US20100294199A1 (en) * | 2009-04-21 | 2010-11-25 | Applied Materials, Inc. | Cvd apparatus for improved film thickness non-uniformity and particle performance |
WO2010123877A3 (en) * | 2009-04-21 | 2011-01-20 | Applied Materials, Inc. | Cvd apparatus for improved film thickness non-uniformity and particle performance |
WO2010123877A2 (en) * | 2009-04-21 | 2010-10-28 | Applied Materials, Inc. | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US10418261B2 (en) | 2010-12-22 | 2019-09-17 | Brooks Automation, Inc. | Workpiece handling modules |
US9324594B2 (en) | 2010-12-22 | 2016-04-26 | Brooks Automation, Inc. | Workpiece handling modules |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US20170121818A1 (en) | 2011-10-28 | 2017-05-04 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US10370761B2 (en) | 2011-10-28 | 2019-08-06 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US8826857B2 (en) * | 2011-11-21 | 2014-09-09 | Lam Research Corporation | Plasma processing assemblies including hinge assemblies |
US20130126092A1 (en) * | 2011-11-21 | 2013-05-23 | Lam Research Corporation | Plasma Processing Assemblies Including Hinge Assemblies |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US11208722B2 (en) | 2011-12-27 | 2021-12-28 | Asm Ip Holding B.V. | Vapor flow control apparatus for atomic layer deposition |
US20150187624A1 (en) * | 2013-12-31 | 2015-07-02 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9657397B2 (en) * | 2013-12-31 | 2017-05-23 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US11377737B2 (en) | 2016-06-01 | 2022-07-05 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US10651016B2 (en) * | 2017-03-15 | 2020-05-12 | Hermes-Epitek Corporation | Detachable gas injector used for semiconductor equipment |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
US11830731B2 (en) | 2019-10-22 | 2023-11-28 | Asm Ip Holding B.V. | Semiconductor deposition reactor manifolds |
Also Published As
Publication number | Publication date |
---|---|
US20090056626A1 (en) | 2009-03-05 |
US8123860B2 (en) | 2012-02-28 |
AU2003238853A1 (en) | 2003-09-02 |
WO2003065424A2 (en) | 2003-08-07 |
WO2003065424A3 (en) | 2004-03-11 |
US20030172872A1 (en) | 2003-09-18 |
US7175713B2 (en) | 2007-02-13 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8123860B2 (en) | Apparatus for cyclical depositing of thin films | |
US11075127B2 (en) | Suppressing interfacial reactions by varying the wafer temperature throughout deposition | |
US20030198754A1 (en) | Aluminum oxide chamber and process | |
KR100407417B1 (en) | Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor | |
US6340499B1 (en) | Method to increase gas residence time in a reactor | |
US8394200B2 (en) | Vertical plasma processing apparatus for semiconductor process | |
US6454860B2 (en) | Deposition reactor having vaporizing, mixing and cleaning capabilities | |
JP5909484B2 (en) | Design of process chamber lids incorporating a plasma source for short-lived species | |
US8506713B2 (en) | Film deposition apparatus and film deposition method | |
US20140023794A1 (en) | Method And Apparatus For Low Temperature ALD Deposition | |
TWI391996B (en) | Overall defect reduction for pecvd films | |
TWI387667B (en) | An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber | |
US20120210937A1 (en) | Substrate processing apparatus using a batch processing chamber | |
US9157151B2 (en) | Elimination of first wafer effect for PECVD films | |
US20100099266A1 (en) | Etch reactor suitable for etching high aspect ratio features | |
KR20060096460A (en) | Gas distribution showerhead featuring exhaust apertures | |
US20130344688A1 (en) | Atomic Layer Deposition with Rapid Thermal Treatment | |
KR20170029622A (en) | Methods and apparatus for depositing a cobalt layer using a carousel batch deposition reactor | |
KR101412034B1 (en) | Top plate and Apparatus for depositing thin film on wafer using the same | |
JPH09153485A (en) | Vapor growth device | |
JPH0533811B2 (en) |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: APPLIED MATERIALS, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:THAKUR, RANDHIR P.S.;MAK, ALFRED W.;XI, MING;AND OTHERS;REEL/FRAME:019650/0581;SIGNING DATES FROM 20030304 TO 20030322 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |