TW201009905A - Method for making metal gate stack of semiconductor device - Google Patents

Method for making metal gate stack of semiconductor device Download PDF

Info

Publication number
TW201009905A
TW201009905A TW098126154A TW98126154A TW201009905A TW 201009905 A TW201009905 A TW 201009905A TW 098126154 A TW098126154 A TW 098126154A TW 98126154 A TW98126154 A TW 98126154A TW 201009905 A TW201009905 A TW 201009905A
Authority
TW
Taiwan
Prior art keywords
layer
metal gate
forming
semiconductor substrate
semiconductor device
Prior art date
Application number
TW098126154A
Other languages
English (en)
Other versions
TWI453804B (zh
Inventor
Jr-Jung Lin
Yih-Ann Lin
Ryan Chia-Jen Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201009905A publication Critical patent/TW201009905A/zh
Application granted granted Critical
Publication of TWI453804B publication Critical patent/TWI453804B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

201009905 六、發明說明: 【發明所屬之技術領域】 本發明係有關於半導體元件的製造方法,特別係關 於半導體元件的金屬閘極堆疊的製造方法。 I先前技術】 當例如金屬氧化半導體場效應電晶體 (metal-oxide-semiconductor field-effect transistor; 鲁 MOSFET)的半導體元件隨著各種技術節點(technology node)的改變而微縮化時,高介電常數(highk)介電材料及 金屬被用來形成閘極堆4 (gate stack)。於一形成金屬閑極 堆疊(metal gate stack)的方法中,需進行多個乾姓刻步驟 及渣蝕刻步驟。舉例雨言,當蓋層設置於high k介電材 料層及金屬閘極層之間時,需要在兩個乾蝕刻步驟之間 進行一溼蝕刻步驟以移除蓋層,並減少嚴重影響產品特 性的殘餘物。因此,為形成金屬閘極堆疊,需要進行多 • 重蝕刻步驟且應用多個蝕刻裝置。於此例子中,蝕刻方 法包括一乾姓刻步驟、一渔#刻步雜、以及一第二乾姓 刻步雜。然而,如此金厲閘極钱刻方法具有製程複雜及 製造週期時間長的缺點,且提高了製造成本。 【發明内容】 本發明提供一種形成半導體元件的金屬閘極堆疊的 方法包括下列步驟:於一#刻腔室内’藉由一圖案化 罩幕的定義閘極區的開口對一半導髏基底進行一第一乾 0503-A34092TWF/hhchiang 3 201009905 蝕刻步驟,以移除位於該半導體基底上的一多晶矽層及 一金屬閘極層;於該蝕刻腔室内提供一水蒸氣至該半導 體基底,以移除位於該半導體基底上的一蓋層;以及於 該蝕刻腔室内對該半導體基底進行一第二乾蝕刻步驟, 以移除一高介電常數(highk)介電材料層。 本發明也提供一種形成半導體元件的金屬閘極雄疊 的方法,包括下列步驟:於一蝕刻腔室内,藉由一圖案 化罩幕的定義閘極區的開口對一半導體基底進行一第一 乾蝕刻步驟,以移除位於該半導體基底上的一閘極金屬 層;於該蝕刻腔室内提供一氧電漿及氬電漿中的至少一 個至該半導體基底,以移除一蓋層;於該蝕刻腔室内對 該半導體基底進行一第二乾蝕刻步驟,以移除一 high k 介電材料層;以及對該半導體基底進行一濕蝕刻步驟以 移除一聚合殘餘物。 本發明還提供一種形成半導體元件的方法,包括下 列步驟:於一蝕刻腔室内對一半導體基底進行一第一乾 蝕刻步驟以移除位一金屬閘極層;於該蝕刻腔室内提供 一水蒸氣、氧電漿、及氬電漿中的至少一個至該半導體 基底以移除一蓋層;以及於該蝕刻腔室内對該半導體基 底進行一第二乾蝕刻步驟以移除一 high k介電材料層, 藉此形成一閘極堆疊。 【實施方式】 有關各實施例之製造和使用方式係如以下所詳述。 然而,值得注意的是,本發明所提供之各種可應用的發 0503-A34092TWF/hhchiang 4 201009905 明概念係依具體内文的各種變化據以實施,且在此所討 論的具體實施例僅是用來顯示使神製造本發明的 方法不用丄x限制本發明的範®。以下係透過各種阖 示及例式說明本發明較佳實施例的製造過程。 在本發明 各種不同之各種實施例和圖示中,相同的符號代表相同 或類似的7〇件°此外’當—層材料層是位於另一材料層 或基板之上時’其可以S直接位於其表面上或另外插入 有其他中介層。 第1圖為根據本發明概念所構成的一實施例的方法 100的流程圖,用以形成具有金屬閘極堆叠(metal gate staekW + H件第2圖為—實施賴形成的具有金 屬閘極堆#的半導體結構巧。的剖面圖。半導體元件的 形成方法100係參照第】油及第2圖作說明。 方法100起始於步驟102,提供一半導體基底210。 半導體基底210包括矽。半導體基底21〇也可包括鍺 (gennanium)或石夕鍺(silic〇n germanium)e於其他實施例 中’可使用其他半導體材料用作半導體基底21〇,例如錢 石(diamond)、碳化梦(sjjicon carbide; SiC)、神化嫁 (gallium arsenic; GaAs)、磷砷化鎵(gallium arsenic phosphorous; GaAsP)、砷化鋁銦(alumiimm indium arsenic; AlInAs)、神化紹鎵(aluminum gallium arsenic; AlGaAs)、鱗化鎵姻(gallium indium phosphorus; GalnP)、 或其其他適合的組合。 方法100進行至步驟104,於半導體基底210上形成 多個金屬閘極堆疊材料層Cmetal-gate-stack material 0503-A34092TWF/hhchiang 5 201009905 layers)。於一實施例中,高介電常數(high k)介電材料層 形成於半導體基底上。金屬閘極層形成於high k介電材 料層上。此外,蓋層更插介於high k介電材料層及金屬 閘極層之間。high k介電材料層是以適當的方法形成,例 如原子層沉積法(atomic layer deposition; ALD)。其他形 成high k介電材料層的方法包括金屬有機化學氣相沉積 法(metal organic chemical vapor deposition; MOCVD)、物 理氣相沉積法(physical vapor deposition; PVD)、紫外光臭 氧氧化法(ultraviolet UV-Ozone Oxidation)、及分子束磊 ❹ 晶法(molecular beam epitaxy; MBE)。於一實施例中,high k介電材料包括氧化給(hafnium oxide; Hf〇2)。於其他實 施例中’ high k介電材料-包括氧化銘(aiuminuin oxide; Al2〇3) °或者是’ high k务電材料包括金屬氣化物(metal nitride)、金屬梦化物(metai Snicate)、或其他金屬氧化物 (metal oxide)。 金屬閘極層是以PVD法或其他適合的方法形成。金 屬閘極層包括氮化鈦(titaniUm以廿他)。於其他實施例參 中’金屬閘極層包括氮化组(tantaluin ni廿丨和)、氮化n (molybdenum nitride)、或氮化紹鈦(titanium aluminum mtnde)。蓋層插介於high k介電材料層及金屬閘極層之 間蓋層包括氧化綱(lanthanum 〇xide; LaO)。蓋層也可包 括其他適合的材料。 第2圖顯示一實施例的金屬閘極層,並敘述如下。 •薄熱氧化砍層212形成於矽基底 210上。以ALD法或其 他適σ的方法於熱氧化妙層212上形成high k介電材料 0503-A34092TWF/hhchiane δ 6 - 201009905 層214。high k介電材料包括氧化給(財〇2)或其他適合的 材料。蓋層216形成於higli k介電材料層214 土。蓋層 包括氧化韻或其他適合的材料。以PVX)法或其他適合的 方法於蓋層216上形成金屬閘極層218。金屬閘極層包括 氮化欽或其他前述適合的材料。以CVD法或其他適合的 方法於金屬閘極層218上形成多晶發層220。 方法100進行至步驟106,於一乾蝕刻裝置中,尤其 是於一乾姓刻腔室中,利用具有多個開口的圖案化罩幕 ⑩ 222進行第一乾蝕刻步驟,以圖案化多晶矽層220及金屬 閘極層218。第一乾蝕刻步驟移除位於圖案化罩幕的開口 内的多晶矽層及金屬閘極層。於一實施例中,第一乾蝕 刻步驟利用含氟雩漿(fluorine-containing plasma)移除多 晶矽層及金屬閘極層。尤其是’第一乾蝕刻步驟是使用 氟碳電漿(fluorocarbon plasma)。於一實施例中,蝕刻氣 體包括CF4。於其他實施例中,是分開進行兩個具有不 同蝕刻氣體的蝕刻步驟’以分別蝕刻多晶矽層及金屬閘 鲁極層。舉例而言,蝕刻多晶矽的氣體可包括Cl2、ΗΒτ、 〇2、或其組合。 圖案化罩幕222形成於多層的金屬閘極堆疊層 (multiple metal-gate-stack layers)上。於一實施例中,圖 案化罩幕222形成於多晶矽層220上,如第2圖所示。 於一實施例中,圖案化罩幕層222包括以微影 (photolithography)步驟所形成的圖案化光阻層。微影步驟 可包括光阻層塗佈、軟烤(soft baking)、遮罩對準、曝光、 曝光後烘烤(post-exposuTe baking)、顯影(developing 0503-A34092TWF/hhchiang 7 201009905 photoresist)及硬烤(hard baking)步驟。也可以例如無光罩 微影(maskless photolithography)、電子束刻寫 (electron-beam writing)、離子束刻寫(ion-beam writing) 及分子轉印(molecular imprint)的其他適合的方法進行或 取代微影曝光步驟。 於其他實施例中,圖案化罩幕層222包括圖案化硬 罩幕層。於一實施例中,圖案化罩幕層222包括氮化矽。 於形成圖案化氮化矽硬罩幕的例子中,係以低壓化學氣 相沉積法(low pressirre chemical vapor deposition; LPCVD)❺ 於多晶矽層上形成氮化矽層。在以CVD法形成氮化矽層 的步驟中’所使用的前驅物包括二氯矽烷 (dichlarosilane ; DCS 或,SiH2Cl2)、雙叔丁基氨基矽烷 (bis(TeTtiaryButylAmino)Silaiie ; BTBAS 或 C8H22N2Si)、 及二矽烷disilane(DS或SizH6)。接著利用微影步驟將光 阻層圖案化,並進行蝕刻步驟蝕刻位於圖案化光阻層的 開口内的氮化矽以進一步圖案化氮化矽層。或者,可利 用其他介電材料作為圖案化硬罩幕。舉例雨言,可以氮⑩ 氧化珍(silicon oxynitride)用作硬罩幕。 方法100進行至步驟108,於相同的乾蝕刻裝置中提 供水蒸氣(HA steam)至半導體結構2〇〇以圖案化蓋層 216。於一實施例中,步驟108係於進行第一乾蝕刻步驟 的相同㈣腔室中進行。位於圖案化罩幕層222的開口 内的蓋層216係於此步驟中以水蒸氣移除。優點是於 先前餘刻步驟所形成的聚合殘餘物(p〇lymeric residue)可. 實質上同樣地以水蒸氣移除。網/氧化鑛可與水蒸氣反 〇503-A34092TWF/hhchiang 201009905 . 麵刻腔室將排氣 應且藉此移除。可於低壓環境下自 (exhaustive gas)抽出。 除了水蒸氣,方法1 〇 0亦可於相同的乾餘置内, 特別係於相同的乾融刻腔室内,使用氣電、 案化半導體結構200的蓋層216。位於圖鸯S氨電衆圖 系化罩幕層的聞 口内的蓋層216是藉由氧電漿或氬電衆 丁^从移除。/以 先前所述的’聚合殘餘物實質上也同樣地 氬電漿予以移除。氧電漿或氬電漿係於室^ 電漿或 體結構20(^或者,氧電漿或氬電漿可於介於約 5(TC的溫度下供至半導體結構200。 ^ 方法100進行至步驟110,於相同的乾蝕刻裝置内, 特別係於相同的乾姓刻腔f内,進行第二乾蝕刻步驟以 圖案化high k介電材料層214。第二乾餘刻步辣係調整钱 刻劑及蝕刻環境以有效的移除high k介電材料層。位於 圖案化罩幕的開口内的high k介電材料層實質上係藉由 第二乾钮刻步驟予以移除。於一實施例中,第二乾#刻 步驟是利用含氟電黎移除higli k介電材料層。於其他實 施例中,第二乾蝕刻步驟是利用含有氟、氯及惰性氣體 中的至少一個氣體移除high k介電材料層。 方法100進行至步驟112,進行濕蝕刻步驟以移除位 於基底及/或金屬閘極堆疊的侧壁上的聚合殘餘物威其 他殘餘物。此濕姓刻步驟係設計用以有效的移除聚合殘 餘物或其他污染物。舉例雨言,此濕姓刻步雜孫使用含 有氩氧化錄(ammonium hydroxide; NH4OH)及過氧化* I (peroxide; H202)的SCI溶液。於其他實施例中,此满妙 0503-A34092TWF/hhchiang 9 201009905 刻步驟可使用一含有硫酸(sulfuric acid; H:2SCX〇及過氧化 氫(peroxide; H2〇2)的溶液。濕蝕刻步驟可於一濕蝕刻裝 置内進行。 於此方法中’用以移除金屬閘極層的第一乾蝕刻步 驟、用以移除high k介電材料層的第二乾蝕刻步驟、及 以水蒸氣或氧/氬電漿移除蓋層的蝕刻步驟係整合於相 同蝕刻裝置,特別係相同蝕刻腔室内進行,因此簡化了 製程且縮短週期時間,此外,更降低了製造成本。所述 方法利用水蒸氣或氧/氬電漿施於半導體結構以有效移 ❹ 除例如LaO的蓋層及聚合殘餘物。應了解的是,於此所 討論的實施例包括幾種不同的實施例,而並非所有的實 施例都具有特別的優點。 雖然未顯示出,本發明實施例亦可包含其他步驟以 形成多個摻雜區域,例如源極及没極區,或形成例如多 重内連線(multilayer interconnection; MLI)的元件。於一 實施例中1輕推雜〉及極(lightly doped drain; LDD)區係於 閘極堆4形成之後形成。閘極間隙壁(gate spacer)可形成 Θ 於金屬閘極堆疊的側壁上。接著,源極及汲極區實質上 對準於間隙壁的外側邊緣形成。閘間隙壁可具有多層結 構,且可包含氧化矽、氮化矽、氮氧化矽或其他介電材 料。具有η型摻雜質或p型摻雜質的摻雜源極及汲極區 域及LDD區係利用例如離子植入的一般捧雜方式形成。 用以形成相關的摻雜區域的Ν型摻雜質可包括璘、神及 /或其他材料。Ρ型摻雜質可包括硼、銦及/或其他材料。 接著形成多重内連線。多重内連線包括垂直的内連 0503-A34092TWF/hhchiang 10 201009905 線,例如一般的介層窗(via)或接觸窗(c〇ntact),並包括水 平的内連線’例如金屬線(metal lines)。可使用包括銅、 鎢及金屬矽化物(silicide)的導電材料形成各種内連線元 件。於一實施例中’係利用鎮嵌法(damascene)形成銅相 關的多重内連線結構。於其他實施例中,係利用鎢於接 觸洞内形成鶴插塞(plug)。 半導體結構可更包含額外的隔離元件以將每個元件 互相隔離。隔離元件可包括不同的結構,並可利用不同 聲的製造技術予以形成。舉例而言,隔離元件可包括淺溝 槽隔離(shallow trench isolation; STI)元件。STI 的形成步 驟可包括於基底内餘刻出溝槽,以及以例如氧化梦、氮 化矽或氮氧化穸的絕緣材科填充溝槽。所填充的溝槽可 ; ' 具有多層結構’例如具有熱氧化襯層並以氮化矽壤充溝 槽。於一實施例中’ STI結構可利用一連績的步驟形成, 例如:成長墊氧化物(pad oxide)、以低壓化學氣相沉積法 (LPCVD)形成氮化層、利用光阻及罩幕圖案化STI開口、 * 於基底内蝕刻出溝槽、選擇性的成長熱氧化溝槽襯墊層 (thermal oxide trench liner)以增進溝槽介面(trencli interface)特性、以CVD法形成氧化物以填充溝槽、利用 化學機械研磨法(chemical mechanical planarization ; CMP) 進行回蚀刻步驟、及利用氮化物剝離法(nitride stripping) 法留下STI結構。 半導體結構200僅為可利用方法1〇〇中的各種概念 的元件中的其中一個例子。半導體結構200及其製造方 法100可應用於其他具有high k及金屬閘極元件的半導 0503-A34092TWF/hhchiang 11 201009905 體元件,例如應變半導體基底(strained semiconductor sulrstrate)、異半導體元件(hetero-semiconductor device)、 或無應力絕緣結構(stress-free isolation structure)。 本發明並非限於包括MOS電晶體的半導體結構的應 用,而更可延伸至其他具有金屬閘極堆疊的積體電路。 舉例而言,半導體結構200可包括動態隨機存取記憶體 (dynamic random access memory; DRAM)單元、單電子 電晶艘(single electron transistor; SET)、及 / 或其他微電 子元件(microelectronic device)(於此統稱為微電子元 _ 件)。於其他實施例中,半導體結構200包括鰭式場效 電晶體(FinFET transistor)。當然,本發明的概念亦可應 用於可取得的其他類型的電晶體,包括單閘極電晶體 (single-gate transistor)、雙閘極電晶體(double-gate transistoT)及其他多閘極電晶體(multiple-gate transistor),且可使用於不同的應用中,包括感測單元 (sensor cell)、記憶體單元(memory cell)、邏輯單元(logic cell)及其他的應用。 ❹ 雖然本發明的實施例揭露如上,然其並非用以限定 本發明,任何熟悉此項技藝者,在不脫離本發明之精神 和範圍内,當可做些許更動與潤飾。於一實施例中,係 利用本發明的方法形成η型金屬氧化半導體場效電晶體 (metal-oxide-semiconductor field-effect-transistor; NMOSFET)。於其他實施例中,係於先形成閘極的方法 (gate-first process)中利用本發明的方法形成金屬閘極堆 疊,其中係以方法1〇〇形成金屬閘極堆疊,且其保留於 0503-A34092TWF/hhchiang 12 201009905 最終的結構中。於其他實施例中,係於混成方法(hybrid process)中利用本發明的方法形成金屬閘極堆疊,其中係 以方法1〇〇形成第一型金屬閘極堆疊(例如N〇M〇S金 屬閘極堆疊),且其保留於最終的結構中。所形成的第 二型金屬閘極堆疊(例如P0M0S金屬閘極堆疊)係視為 虛置閘極結構(dummy gate structuTe),因此能進行源/汲 極離子摻雜步驟及退火步驟。接著,移除部份的虛置閘 極結構,並以適合的材料再填充(refiU)虛置閘極溝槽 (dummy gate trench)。舉例而言,將PM0S區域内的多晶 碎層及金屬層移除後’以p金屬再填充並更以例如銅的 另一金屬填充以形成PM0S金屬閘極堆疊。 於其他實施例中,半*導體基底可包括磊晶層。舉例 而吞’基底可具有覆蓋塊单導體(bulk semiconductor)的i 晶層。再者’可對基底施予應力以增強性能。舉例雨言, 磊晶層可包括相異於塊半導體的半導體材料,例如,以 錄化破(silicon germanium)覆蓋塊矽(bulk silicon) ’ 或者 是’矽層覆蓋以包含選擇性磊晶成長(SEG)的步驟所形成 的塊錯化珍(bulk silicon germanium)。再者,基底可包括 例如埋藏介電層的絕緣層上覆半導體 (semiconductor-on-insulator; SOI)結構。或者是,基底可 包括例如埋藏氧化層(buried oxide; BOX)的埋藏介電 層’其可藉由被稱為埋藏氧化層氧植入隔離(separation by implantation of oxygen; SIMOX)的方法、晶圓接合法 (wafer bonding) * 選擇性蟲晶成長法(selective epitaxial growth; SEG)或其他合適的方法所形成。 0503-A34092TWF/hhchiang 13 201009905 因此,本發明提供形成半導體元件的金屬閘極堆疊 的方法。本發明的方法包括在一蝕刻腔室内,藉由一圖 案化罩幕用以定義閘極區的開口對一半導體基底進行一 第一乾蝕刻步驟,以移除位於該半導體基底上的一多晶 矽層及金屬閘極層;於該蝕刻腔室内提供一水蒸氣至該 半導體基底,以移除位於該半導體基底上的一蓋層;以 及於該蝕刻腔室内對該半導體基底進行一第二乾蝕刻步 驟,以移除一 high k介電材料層。 所述方法更包括在進行該第二乾蝕刻步驟後,對該 ⑩ 半導體基底進行一濕蝕刻步驟以移除聚合殘留物。於一 實施例中,該蓋層包括氧化鑛(Lanthanum oxide; LaO)。 該提供水蒸氣的步驟可提供水至該蓋層,且蝕刻速率大 於約30埃/每分鐘(angstrom per minute)。於一實施例中, 該半導體元件係一 N型金屬氧化半導體場效應電晶體 (NM0SFET) 〇該金屬閘極層可包括氮化鈦(titanium nitride)。該金屬閘極層可包括一擇自由說化组(tantalum nitride)、氮化顧(molybdenum nitride)、及鈦紹氮化物 ⑩ (titanium almninum nitride)所構成之群組的導電材料。該 提供水蒸氣的步驟可包括提供該半導體基底於一高於約 100C的溫度。該圖案化硬罩幕可包括氮化梦。 本發明亦提供形成半導體元件的金屬閘極堆疊的另 一實施例。所述方法包括在一蝕刻腔室内,藉由一圖案 化罩幕用以定義閘極區的開口對一半導體基底進行一第 一乾蝕刻步驟,以移除位於該半導體基底上的一閘極金 屬層;於該蝕刻腔室内提供氧電漿或氬電漿中的至少一 0503-A34092T^F/hhchiang 14 201009905 個至該半導體基底,以移除位一蓋層;於該蝕刻腔室内 對該半導體基底進行一第二乾蝕刻步驟,以移除一 high k 介電材料層;以及對該半導體基底進行一濕蝕刻步驟以 移除聚合殘留物。 於上述方法的多個實施例中,該蓋層可包括氧化 鋼。該金屬閘極層可包括可包括一擇自由氮化组、氮化 鉬、及鈦鋁氮化物所構成之群組的導電材料。該第一乾 蝕刻步驟可包括進行該第一乾蝕刻步驟以更移除位於該 Φ 金屬閘極層上的一多晶梦層。 本發明還提供形成半導體元件的另一實施例。上述 方法包括在一蝕刻腔室内,對一半導體基底進行一第一 乾蝕刻步驟,以移除一傘屬閘極層;於該蝕刻腔室内提 供水蒸氣、氧電漿及氬電漿中的至少一個至該半導體基 底,以移除位一蓋層;以及於該蝕刻腔室内對該半導體 基底進行一第二乾蝕刻步驟以移除一 high k介電材料 層,藉此形成一金屬閘極堆疊。 * 上述方法可更包括於進行該第一乾蝕刻步驟前,圖 案化位於該金屬閘極層上的一罩幕層以定義一圖案區 域。上述方法可更包括在進行該第二乾蝕刻步驟後,於 一溼蝕刻裝置内對該半導體基底進行一溼蝕刻步驟以移 除聚合殘餘物。蓋層可包括氧化鑭。該第一乾蝕刻步驟 可包括對位於該金屬閘極層上的一多晶矽層進行該第一 乾蝕刻步驟。於一實施例中,該金屬閘極堆疊係 NMOSFET的金屬閘極結構。該金屬閘極層可包括氮化 欽0 0503-A34092TWF/hhchiang 15 201009905 雖然本發明已以較佳實施例揭露如上,然其並非用 以限定本發明,任何熟悉此項技藝者,在不脫離本發明 之精神和範圍内,當可做些許更動與潤飾,因此本發明 之保護範圍當視後附之申請專利範圍所界定者為準。
0503-A34092TWF/hhchiang 16 201009905 【圖式簡單說明】 第1圖為根據本發明概念所構成的一實施例的方法 100的流程圖,用以形成具有金屬閘極堆疊的半導體元 件。 第2圖為根據本發明概念的一實施例,其所形成的 具有金屬閘極堆疊的半導體結構的剖面圖。 【主要元件符號說明】 ❿ 210〜基底; 212〜氧化矽層; 214〜高介電常數介電材料層; 216〜蓋層; k 218〜金屬閘極層;' 220〜多晶矽層; 222〜圖案化罩幕。
0503-A34092TWF/hhchiang 17

Claims (1)

  1. 201009905 七、申請專利範圍: 1. 一種形成半導體元件的金屬閘極堆疊的方法,包 括下列步驟: 於一蝕刻腔室内,藉由一圖案化罩幕的定義閘極區 的開口對一半導體基底進行一第一乾蝕刻步驟,以移除 位於該半導體基底上的一多晶梦層及一金屬閘極層; 於該蝕刻腔室内提供一水蒸氣至該半導體基底,以 移除位於該半導體基底上的一蓋層;以及 於該蝕刻腔室内對該半導體基底進行一第二乾蝕刻 ⑩ 步驟,以移除一高介電常數(highk)介電材料層。 2. 如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆疊的方法,更包括於進行該第二乾蝕刻步驟 後,對該半導體基底進行二濕蝕刻步驟以移除一聚合殘 餘物。 3. 如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆疊的方法,其中該蓋層包括氧化鋼。 1如申請專利範圍第1項所述之形成半導體元件的 ❿ 金屬閘極堆疊的方法,其中該提供水蒸氣的步驟包括提 供水至該蓋層,且具有大於約30埃/每分鐘(angstrom per minute)的#刻速率。 5. 如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆疊的方法,其中該半導體元件係一 N型金屬 氧化半導體場效應電晶體。 6. 如申請專利範圍第1項所述之形成半導體元件的· 金屬閘極堆疊的方法,其中該金屬閘極層包括氮化鈦。 0503-A34092TWF/hhchiang 18 201009905 7. 如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆查的方法,其中該金屬閘極層包括一擇自由 氮化组(tantalum nitride).、氮化顧(molybdenum nitride)、 及敛銘氮化物(titanium aluminum nitride)所構成之群組 的導電材料。 8. 如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆疊的方法,其中該提供水蒸氣的步驟包括使 該半導體基底的溫度高於約1〇〇。(:。 • 9.如申請專利範圍第1項所述之形成半導體元件的 金屬閘極堆疊的方法’其中該圖案化硬罩幕包括氮化梦。 10. —種形成半導體元件的金屬閘極堆疊的方法,包 括下列步驟: 於一蝕刻腔室内’藉由一圖案化罩幕的定義閘極區 的開口對一半導體基底進行一第一乾银刻步驟,以移除 位於該半導體基底上的一閘極金屬層; 於該蝕刻腔室内提供一氧電漿及氬電漿中的至少一 ® 個至該半導體基底,以移除一蓋層; 於該蝕刻腔室内對該半導體基底進行一第二乾姓刻 步驟’以移除一 high k介電材料層;以及 對該半導體基底進行一濕钱刻步驟以移除一聚合殘 餘物。 11·如申請專利範圍第1〇項所述之形成半導體元件 的金屬閘極堆疊的方法,其中該蓋層包括氧化鋼。 • 12·如申請專利範圍第1〇項所述之形成半導體元件 的金屬閘極堆疊的方法,其中該金屬閘極層包括一擇自 0503-A34092TWF/hhchiang 19 201009905 由氣化鈦(titanium nitride)、氮化钽(tantalum nitride)、氮 化鉬(molybdenum nitride)、及鈇銘氮化物(titanium aluminum nitride)所構成之群組的導電材料。 13. 如申請專利範圍第10項所述之形成半導體元件 的金屬閘極堆疊的方法,其中該第一乾蝕刻步驟包括進 行該第一乾蝕刻步驟以更移除位於該金屬閘極層上的一 多晶矽層。 14. 一種形成半導體元件的方法,包括下列步驟: 於一蝕刻腔室内對一半導體基底進行一第一乾蝕刻 _ 步驟以移除位一金屬閘極層; 於該蝕刻腔室内提供一水蒸氣、氧電漿、及氬電漿 中的至少一個至該半導體基底以移除一蓋層;以及 於該蝕刻腔室内對該半導體基底進行一第二乾蝕刻 步驟以移除一 high k介電材料層,藉此形成一閘極堆疊。 15. 如申請專利範圍第14項所述之形成半導體元件 的方法,更包括於進行該第一乾蝕刻步驟前,圖案化位 於該金屬閘極層上的一罩幕層以定義一閘極區。 ❿ 16. 如申請專利範圍第14項所述之形成半導體元件 的方法,更包括於該第二乾蝕刻步驟後,於一濕蝕刻裝 置中對該半導體基底進行一濕蝕刻步驟,以移除一聚合 殘餘物。 17. 如申請專利範圍第14項所述之形成半導體元件 的方法,其中該蓋層包括氧化鑭。 18. 如申請專利範圍第14項所述之形成半導體元件 的方法,其中該第一乾蝕刻步驟包括對位於該金屬閘極 0503-A34092TWF/hhchiang 20 201009905 層上的一多晶梦層進行該第一乾蚀刻步驟。 ' 19.如申請專利範圍第14項所述之形成半導體元件 的方法,其中該閘極堆疊係一 N型金屬氧化半導體場效 應電晶體的一閘極結構。 20.如申請專利範圍第14項所述之形成半導體元件 的方法,其中該金屬閘極層包括氮化鈦。
    0503-A34092TWF/hhchiang 21
TW098126154A 2008-08-18 2009-08-04 形成半導體元件的金屬閘極堆疊的方法 TWI453804B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8976208P 2008-08-18 2008-08-18
US12/367,399 US8304349B2 (en) 2008-08-18 2009-02-06 Method to integrate gate etching as all-in-one process for high K metal gate

Publications (2)

Publication Number Publication Date
TW201009905A true TW201009905A (en) 2010-03-01
TWI453804B TWI453804B (zh) 2014-09-21

Family

ID=41681555

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098126154A TWI453804B (zh) 2008-08-18 2009-08-04 形成半導體元件的金屬閘極堆疊的方法

Country Status (3)

Country Link
US (1) US8304349B2 (zh)
CN (1) CN101656206B (zh)
TW (1) TWI453804B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9337103B2 (en) 2012-12-07 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard mask oxide and making gate structure of semiconductor devices
US8871107B2 (en) * 2013-03-15 2014-10-28 International Business Machines Corporation Subtractive plasma etching of a blanket layer of metal or metal alloy
JP6163446B2 (ja) * 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US10079283B2 (en) 2014-07-17 2018-09-18 E Ink Holdings Inc. Manufacturing method of a transistor
US10177041B2 (en) 2017-03-10 2019-01-08 Globalfoundries Inc. Fin-type field effect transistors (FINFETS) with replacement metal gates and methods
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
JP6905149B2 (ja) 2019-02-14 2021-07-21 株式会社日立ハイテク 半導体製造装置

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4241165A (en) * 1978-09-05 1980-12-23 Motorola, Inc. Plasma development process for photoresist
US4659426A (en) * 1985-05-03 1987-04-21 Texas Instruments Incorporated Plasma etching of refractory metals and their silicides
US5346586A (en) * 1992-12-23 1994-09-13 Micron Semiconductor, Inc. Method for selectively etching polysilicon to gate oxide using an insitu ozone photoresist strip
US5656097A (en) * 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
KR100189982B1 (ko) * 1995-11-29 1999-06-01 윤종용 고유전체 캐패시터의 제조방법
US5825609A (en) * 1996-04-23 1998-10-20 International Business Machines Corporation Compound electrode stack capacitor
KR100301371B1 (ko) * 1998-07-03 2001-10-27 윤종용 반도체메모리장치및그의제조방법
US6099662A (en) * 1999-02-11 2000-08-08 Taiwan Semiconductor Manufacturing Company Process for cleaning a semiconductor substrate after chemical-mechanical polishing
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US7456113B2 (en) * 2000-06-26 2008-11-25 Applied Materials, Inc. Cleaning method and solution for cleaning a wafer in a single wafer process
DE10039411A1 (de) * 2000-08-11 2002-02-28 Infineon Technologies Ag Strukturierung ferroelektrischer Schichten
KR100403130B1 (ko) * 2001-12-27 2003-10-30 동부전자 주식회사 반도체 소자용 금속 배선의 클리닝 방법
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
KR100493018B1 (ko) * 2002-06-12 2005-06-07 삼성전자주식회사 반도체 장치의 제조방법
US6746925B1 (en) * 2003-03-25 2004-06-08 Lsi Logic Corporation High-k dielectric bird's beak optimizations using in-situ O2 plasma oxidation
US7153784B2 (en) * 2004-04-20 2006-12-26 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7126199B2 (en) * 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US7323403B2 (en) * 2004-11-29 2008-01-29 Texas Instruments Incroporated Multi-step process for patterning a metal gate electrode
KR100653721B1 (ko) * 2005-06-30 2006-12-05 삼성전자주식회사 질소주입활성영역을 갖는 반도체소자 및 그 제조방법
US7531404B2 (en) * 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100734274B1 (ko) * 2005-09-05 2007-07-02 삼성전자주식회사 기판 세정용 조성물을 이용한 게이트 형성 방법
JP2007201215A (ja) * 2006-01-27 2007-08-09 Toshiba Corp プラズマエッチング装置、プラズマエッチング方法及び半導体装置の製造方法
US20070190795A1 (en) * 2006-02-13 2007-08-16 Haoren Zhuang Method for fabricating a semiconductor device with a high-K dielectric
US7488687B2 (en) * 2006-09-12 2009-02-10 Samsung Electronics Co., Ltd. Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US8101525B2 (en) * 2009-02-13 2012-01-24 Applied Materials, Inc. Method for fabricating a semiconductor device having a lanthanum-family-based oxide layer

Also Published As

Publication number Publication date
US8304349B2 (en) 2012-11-06
US20100041236A1 (en) 2010-02-18
CN101656206A (zh) 2010-02-24
CN101656206B (zh) 2011-11-02
TWI453804B (zh) 2014-09-21

Similar Documents

Publication Publication Date Title
TWI399798B (zh) 具有金屬閘極堆疊的半導體裝置之製造方法
TWI478218B (zh) 半導體裝置及製作具有金屬閘極堆疊的半導體裝置的方法
US8754487B2 (en) Semiconductor device with metal gate
US9472669B1 (en) Semiconductor Fin FET device with epitaxial source/drain
US9905646B2 (en) V-shaped epitaxially formed semiconductor layer
TWI458096B (zh) 半導體裝置及其製造方法
US7776755B2 (en) Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process
US9373695B2 (en) Method for improving selectivity of epi process
CN106033757B (zh) 具有抗穿通层的高迁移率器件及其形成方法
TWI392030B (zh) 半導體裝置的製造方法
US8791001B2 (en) N2 based plasma treatment and ash for HK metal gate protection
TW201009905A (en) Method for making metal gate stack of semiconductor device
KR102530213B1 (ko) 반도체 디바이스 및 방법
US11640983B2 (en) Semiconductor device and method
KR20220116097A (ko) 나노-fet 반도체 디바이스 및 형성 방법
US11631745B2 (en) Semiconductor device structure with uneven gate profile
TW202416360A (zh) 半導體裝置及其形成方法
TW202105618A (zh) 半導體裝置及其製造方法