TW202105618A - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TW202105618A
TW202105618A TW109111693A TW109111693A TW202105618A TW 202105618 A TW202105618 A TW 202105618A TW 109111693 A TW109111693 A TW 109111693A TW 109111693 A TW109111693 A TW 109111693A TW 202105618 A TW202105618 A TW 202105618A
Authority
TW
Taiwan
Prior art keywords
work function
type metal
function layer
metal work
silicon
Prior art date
Application number
TW109111693A
Other languages
English (en)
Other versions
TWI798543B (zh
Inventor
李欣怡
李達元
蘇慶煌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202105618A publication Critical patent/TW202105618A/zh
Application granted granted Critical
Publication of TWI798543B publication Critical patent/TWI798543B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供半導體裝置及其製造方法。在實施例中,使用處理製程以將矽引入p型金屬功函數層中。透過將矽引入前述p型金屬功函數層中,再沉積包括可擴散材料(例如鋁)的層,可避免透過前述p型金屬功函數層產生擴散且影響裝置的運作。

Description

半導體裝置及其製造方法
本揭露實施例係有關於一種半導體裝置及其製造方法,特別是有關於一種沉積p型金屬功函數層和n型金屬功函數層的半導體裝置及其製造方法。
半導體裝置被使用於各種電子應用中,例如:個人電腦、手機、數位相機和其他電子設備。 半導體裝置通常透過以下方式來製造:依序在半導體基底上沉積絕緣層或介電層、導電層和半導體層的材料,且使用微影製程將各種材料層圖案化,以在其上形成電路構件和元件。
半導體工業通過不斷縮小最小特徵尺寸來持續提高各種電子元件(例如:電晶體、二極體、電阻器、電容器等)的積體密度(integration density),這允許將更多的元件整合至特定區域中。 但是,隨著最小特徵尺寸的縮小,出現了其他應該解決的問題。
本揭露實施例提供一種半導體裝置的製造方法,包括:在半導體鰭片上方沉積閘極介電質;在前述閘極介電質上方沉積第一p型金屬功函數層;以含矽氣體處理前述第一p型金屬功函數層;以及在前述第一p型金屬功函數層上方沉積第一n型金屬功函數層。
本揭露實施例提供一種半導體裝置的製造方法,包括:在位於半導體鰭片上方的閘極介電質上方沉積第一p型金屬功函數層;在前述第一p型金屬功函數層上沉積第一n型金屬功函數層,其中前述第一n型金屬功函數層包括第一擴散元素;以及在沉積前述第一n型金屬功函數層之前,透過將矽引入前述第一p型金屬功函數層中以阻擋前述第一擴散元素擴散。
本揭露實施例提供一種半導體裝置,包括:半導體鰭片、閘極介電質、第一p型金屬功函數層、第一n型金屬功函數層以及鋁。閘極介電質係位於前述半導體鰭片上方。第一p型金屬功函數層係位於前述閘極介電質上方,其中前述第一p型金屬功函數層包括矽。第一n型金屬功函數層係位於前述第一p型金屬功函數層上方。鋁係位於前述第一p型金屬功函數層和前述第一n型金屬功函數層內,其中前述鋁的濃度梯度從前述第一n型金屬功函數層延伸至前述第一p型金屬功函數層且在延伸至前述閘極介電質之前終止。
以下的揭露內容提供許多不同的實施例或範例以實施本揭露實施例的不同特徵。以下敘述構件及配置的特定範例,以簡化本揭露實施例的說明。當然,這些特定的範例僅為示範並非用以限定本揭露實施例。例如,在以下的敘述中提及第一特徵形成於第二特徵上或上方,即表示其可包括第一特徵與第二特徵是直接接觸的實施例,亦可包括有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵可能未直接接觸的實施例。另外,在以下的揭露內容的不同範例中可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰之目的,並非用以指定所討論的不同實施例及/或結構之間的關係。
此外,在此可使用與空間相關用詞。例如「底下」、「下方」、「較低的」、「上方」、「較高的」及類似的用詞,以便於描述圖式中繪示的一個元件或部件與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包括使用中或操作中的裝置之不同方位。裝置可能被轉向不同方位(旋轉90度或其他方位),且在此使用的空間相關詞也可依此做同樣的解釋。
現在將以特定範例來描述實施例,這些範例包括在10nm以下技術節點(例如5nm或3nm技術節點)具有多個閾值電壓的鰭式場效電晶體(fin field effect transistor;finFET)裝置。然而,實施例不限於本文提供的範例,且可在各式各樣的實施例中實施此概念。
請參照第1圖,其繪示半導體裝置100(例如鰭式場效電晶體裝置)的立體圖。在一實施例中,半導體裝置100包括基底101和第一溝槽103。基底101可以是矽基底,但也可使用其他基底,例如:絕緣體上半導體(semiconductor-on型insulator;SOI)、應變絕緣體上半導體(strained SOI)和絕緣體上矽鍺(silicon germanium on insulator)基底。基底101可以是p型半導體,但在其他實施例中,基底101也可以是n型半導體。
可形成第一溝槽103來作為最終形成第一隔離區105的初始步驟。可利用遮罩層(未單獨繪示於第1圖中)以及適當的蝕刻製程來形成第一溝槽103。舉例而言,遮罩層可以是硬遮罩,其包括透過例如化學氣相沉積(chemical vapor deposition;CVD)的製程所形成的氮化矽,但可也使用其他材料(例如氧化物、氮氧化物、碳化矽、前述的組合或其他類似的材料)或其他製程(例如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)、低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)或甚至接續氮化製程之氧化矽的形成)。一旦形成遮罩層,可透過適合的微影製程將遮罩層圖案化,以曝露基底101將被移除的部分來形成第一溝槽103。
然而,所屬技術領域中具有通常知識者將可理解上述形成遮罩層的製程和材料並不是唯一可用來保護基底101的一部分,而曝露基底101的其他部分以形成第一溝槽103的方法。可使用任何適合的製程(例如經圖案化及顯影的光阻)來曝露基底101將被移除的部分以形成第一溝槽103。上述所有方法是完全意欲要包含在本揭露實施例的範圍中。
一旦形成遮罩層且將遮罩層圖案化,即在基底101中形成第一溝槽103。可透過適合的製程(例如反應式離子蝕刻(reactive ion etching;RIE))來移除曝露的基底101,以在基底101中形成第一溝槽103,但也可使用其他任何適合的製程。在一實施例中,可形成具有從基底101的表面起小於約5000Å(例如為2500Å)的第一深度的第一溝槽103。
然而,所屬技術領域中具有通常知識者將可理解上述形成第一溝槽103的製程僅是一種可能的製程,而非意圖作為唯一的實施例。相對地,可使用任何適合用來形成第一溝槽103的製程,且可使用包括任意次遮罩及移除步驟的任何適合的製程。
除了形成第一溝槽103之外,另外透過遮罩及蝕刻製程從基底101保持未移除的部分形成鰭片107。為了方便起見,將圖式中繪示的鰭片107與基底101以虛線分隔開,但物理上分開的指示可存在或可不存在。如下所述,這些鰭片107可用以形成多閘極鰭式場效電晶體的通道區。雖然第1圖僅繪示從基底101形成三個鰭片107,但也可使用任意數量的鰭片107。
可形成鰭片107以使其在基底101的表面處具有介於約5nm至約80nm之間的寬度,例如為約30nm。另外,鰭片107之間可隔開介於約10nm至約100nm之間的距離,例如為約50nm。藉由將鰭片107以此方式隔開,各鰭片107可形成分隔的通道區而仍夠靠近以分享一共同閘極(以下將更進一步說明)。
此外,可透過任何適合的方法將鰭片107圖案化。舉例而言,可利用一或多個微影製程(包括雙重圖案化製程或多重圖案化製程)將鰭片107圖案化。普遍而言,雙重圖案化製程或多重圖案化製程結合微影和自對準製程,允許產生例如間距小於利用單一、直接微影製程所得間距的圖案。舉例而言,在一實施例中,在基底上方形成犧牲層且利用微影製程將犧牲層圖案化。利用自對準製程沿圖案化犧牲層旁形成間隔件。接著,移除犧牲層,且可使用剩餘的間隔件來將鰭片107圖案化。
一旦已經形成了第一溝槽103和鰭片107,則可用介電材料填充第一溝槽103,且可使第一溝槽103內的介電材料凹陷以形成第一隔離區105。介電材料可以是氧化物材料、高密度電漿(high-density plasma;HDP)氧化物或其他類似的材料。在選擇性地清潔和襯墊第一溝槽103之後,可利用化學氣相沉積(CVD)方法例如高縱深比填溝製程(high aspect ratio process; HARP)、高密度電漿化學氣相沉積方法或其他本技術領域中已知適合的形成方法來形成介電材料。
可通過以下方式來填充第一溝槽103:用介電材料過度填充第一溝槽103和基底101,接著透過例如化學機械研磨(chemical mechanical polishing;CMP)、蝕刻、前述的組合或其他類似的適當製程移除第一溝槽103和鰭片107之外的多餘材料。在一實施例中,此移除製程也會移除位於鰭片107上方的任何介電材料。如此一來,移除介電材料將使鰭片107的表面曝露於進一步的處理步驟。
一旦第一溝槽103已經被介電材料填充,介電材料可接著從鰭片107的表面凹陷。可執行凹陷以曝露鰭片107之鄰接頂面的側壁的至少一部分。透過將鰭片107的頂面浸入例如氫氟酸(HF)之類的蝕刻劑中,可使用濕式蝕刻使介電材料凹陷,但也可使用其他蝕刻劑例如氫氣,以及使用其他方法例如反應性離子蝕刻、利用例如NH3 /NF3 的蝕刻劑進行乾式蝕刻、化學氧化物移除或乾式化學清潔。介電材料可凹陷至距鰭片107的表面約50Å至約500Å之間的距離,例如約400Å。另外,此凹陷還可以移除位於鰭片107上方的任何剩餘的介電材料,以確保曝露鰭片107以進行更進一步的處理。
然而,所屬技術領域中具有通常知識者將認知到上述步驟可能只是用於填充和凹陷介電材料的整個製程流程的一部分。舉例而言,襯墊步驟、清潔步驟、退火步驟、間隙填充步驟、前述的組合及其他類似的步驟也可以用於形成第一溝槽103,並以介電材料填充第一溝槽103。所有可能的處理步驟皆完全意欲包含在本揭露實施例的範圍內。
在已經形成第一隔離區105之後,可以在每個鰭片107上形成虛設閘極介電質109、在虛設閘極介電質109上方的虛設閘極電極111以及第一間隔件113。在一實施例中,可透過熱氧化、化學氣相沉積、濺鍍或本技術領域中已知用於形成閘極介電質的任何其他方法來形成閘極介電質109。取決於形成閘極介電質的技術,在鰭片107的頂部上的虛設閘極介電質109的厚度可與在鰭片107的側壁上的閘極介電質厚度不同。
虛設閘極介電質109可包括例如二氧化矽或氮氧化矽的材料,此材料的厚度介於大約3Å至大約100Å的範圍內,例如大約10Å。虛設閘極介電質109可由例如氧化鑭(La2 O3 )、氧化鋁(Al2 O3 )、二氧化鉿(HfO2 )、氧氮化鉿(HfON)、二氧化鋯(ZrO2 )或前述的組合的高介電常數(high-k)材料(例如相對介電常數大於約5)所形成,且具有介於約0.5Å至約100Å,例如約10Å或更小的等效氧化物厚度。另外,二氧化矽、氧氮化矽及/或高介電常數材料的任何組合也可用於虛設閘極介電質109。
虛設閘極電極111可以包括導電或非導電材料,並且可選自多晶矽、鎢(W)、鋁(Al)、銅(Cu)、銅鋁合金(AlCu)、鈦(Ti)、氮化鋁鈦(TiAlN)、碳化鉭(TaC)、氮碳化鉭(TaCN)、氮矽化鉭(TaSiN)、錳(Mn)、鋯(Zr)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、鈷(Co)、鎳(Ni)、前述的組合或其他類似的材料。可透過化學氣相沉積(CVD)、濺鍍沉積或本技術領域中已知用於沉積導電材料的其他技術來沉積虛設閘極電極111。虛設閘極電極111的厚度可介於約5Ǻ至約200Ǻ的範圍內。虛設閘極電極111的頂面可以為非平面的頂面,且可在虛設閘極電極111的圖案化或閘極蝕刻之前被平坦化。此時,離子可被引入或可不被引入至虛設閘極電極111中。可例如通過離子植入技術來引入離子。
一旦形成虛設閘極介電質109和虛設閘極電極111,可將虛設閘極介電質109和虛設閘極電極111圖案化以在鰭片107上方形成一系列堆疊115。堆疊115界定位於虛設閘極介電質109下方的鰭片107的每一側上的多個通道區。閘極115可透過使用本技術領域已知的沉積和微影技術在虛設閘極電極111上沉積和圖案化閘極遮罩(未單獨繪示於第1圖中)來形成。閘極遮罩可以結合常用的遮罩和犧牲材料,例如(但不限於)氧化矽、氧氮化矽、氮氧碳化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)及/或氮化矽,並且可沉積至約5Ǻ到約200Ǻ之間的厚度。可使用乾式蝕刻製程來蝕刻虛設閘極電極111和虛設閘極介電質109,以形成圖案化堆疊115。
一旦已將堆疊115圖案化,即可形成第一間隔件113。第一間隔件113可以形成在堆疊115的相對側上。第一間隔件113通常通過在先前形成的結構上毯覆沉積間隔件層(未單獨繪示於第1圖中)而形成。間隔層可包括SiN、氮氧化物、SiC、SiON、SiOCN、SiOC、氧化物及其他類似的材料,並且可透過用於形成此種層的方法來形成,例如化學氣相沉積(CVD)、電漿增強化學氣相沉積、濺鍍以及本技術領域中已知的其他方法。間隔件層可包括具有不同蝕刻特性的不同材料或與第一隔離區105內的介電材料相同的材料。接著,可例如透過一次或多次蝕刻來將第一間隔件113圖案化,以從結構的水平表面上移除間隔件層,來形成第一間隔件113。
在一實施例中,可形成厚度介於約5Ǻ至約500Ǻ之間的第一間隔件113。另外,一旦已經形成第一間隔件113,則可將與一堆疊115相鄰的第一間隔件113和與另一堆疊115相鄰的第一間隔件113分隔大約5nm至大約200nm之間的距離,例如大約20nm。然而,可以使用任何合適的厚度和距離。
第2圖繪示從未被堆疊115和第一間隔件113保護的那些區域中移除鰭片107以及再生長源極/汲極區201。可透過使用堆疊115和第一間隔件113作為硬遮罩的反應離子蝕刻(RIE),或者透過任何其他合適的移除製程來進行從未被堆疊115和第一間隔件113保護的那些區域中移除鰭片107。可繼續進行此移除直到鰭片107與第一隔離區105的表面齊平(如圖所示)或在第一隔離區105的表面下方。
一旦移除了鰭片107的這些部分,就放置硬遮罩(未單獨繪示)且將其圖案化,覆蓋虛設閘極電極111以防止生長,且源極/汲極區201可與每個鰭片107接觸以再生長。在一實施例中,可再生長源極/汲極區201,且在一些實施例中,可再生長源極/汲極區201以形成壓力源,此應力源將向位於堆疊115下方的鰭片107的通道區施加壓力。在鰭片107包括矽且FinFET是p型裝置的實施例中,源極/汲極區201可透過選擇性磊晶製程以例如矽或其他具有與通道區不同的晶格常數的材料例如矽鍺再生長。磊晶生長製程可以使用例如矽烷、二氯矽烷、鍺烷等的前驅物,且可以持續約5分鐘至約120分鐘,例如約30分鐘。
在一實施例中,源極/汲極區201可形成為具有大約5Ǻ和大約1000Ǻ之間的厚度,且在第一隔離區105上方的高度介於大約10Ǻ和大約500Ǻ之間,例如大約200Ǻ。在此實施例中,源極/汲極區201可形成為在第一隔離區105的上表面上方具有在大約5nm與大約250nm之間的高度,例如大約100nm。但是,可使用任何合適的高度。
一旦形成源極/汲極區201,就可透過植入適當的摻雜劑以補充鰭片107中的摻雜劑來將摻雜劑植入至源極/汲極區201中。舉例而言,可植入p型摻雜劑例如硼、鎵、銦或其他類似的材料以形成P型金屬氧化物半導體 (P型type metal oxide semiconductor;PMOS)裝置。可替代地,可植入n型摻雜劑例如磷、砷、銻或其他類似的材料以形成N型金屬氧化物半導體 (N型type metal oxide semiconductor;NMOS)裝置。可使用堆疊115和第一間隔件113作為遮罩來植入這些摻雜劑。應注意的是,本技術領域中具有通常知識者將理解到可使用許多其他製程、步驟或其他類似的方法來植入摻雜劑。舉例而言,本技術領域中具有通常知識者將理解到可使用間隔件和襯墊的各種組合來執行多個植入,以形成適用於特定目的之具有特定形狀或特性的源極/汲極區。這些製程中的任何一種都可用於植入摻雜劑,且以上描述並不意味著將本揭露實施例限制於上述步驟。
另外,在此時移除在形成源極/汲極區201期間覆蓋虛設閘極電極111的硬遮罩。在一實施例中,可使用例如對硬遮罩的材料具有選擇性的濕式或乾式蝕刻製程來移除硬遮罩。然而,可使用任何適合的移除製程。
第2圖亦繪示在堆疊115和源極/汲極區201上形成層間介電(inter-layer dielectric;ILD)層203(在第2圖中以虛線繪示,以更清楚地繪示下方的結構)。層間介電層203可包括例如硼磷矽酸鹽玻璃(boron phosphorous silicate glass;BPSG)的材料,但也可使用任何適合的介電質。可使用例如電漿增強化學氣相沉積(PECVD)的製程來形成層間介電層203,但是也可替代地使用例如低壓化學氣相沉積(LPCVD)的其他製程。層間介電層203可形成為具有大約100Ǻ至大約3,000Ǻ之間的厚度。一旦形成層間介電層203,可使用例如化學機械研磨製程的平坦化製程與將層間介電層203與第一間隔件113一起平坦化,但也可使用任何適合的製程。
第3圖繪示第2圖沿著線3-3'的剖視圖,以便更好地繪示虛設閘極電極111和虛設閘極介電質109的材料的移除和替換。在一實施例中,可使用例如一或多個濕式或乾式蝕刻製程來移除虛設閘極電極111和虛設閘極介電質109,其中前述濕式或乾式蝕刻製程利用對虛設閘極電極111和虛設閘極介電質109的材料具有選擇性的蝕刻劑。然而,可使用任何適合的一或多個移除製程。
一旦已將虛設閘極電極111和虛設閘極介電質109移除,即可透過沉積一系列層來開始形成第一閘極堆疊603的製程。在一實施例中,此一系列層可以包括介面層301、第一介電材料303、第一金屬材料305和第一p型金屬功函數層307。
選擇性地,可在形成第一介電材料303之前形成介面層301。在一實施例中,介面層301可以是例如二氧化矽的材料,且透過一製程例如原位蒸汽產生(in situ steam generation;ISSG)或化學氣相沉積或原子層沉積等的沉積製程來形成。在另一實施例中,介面層301可以是高介電常數材料,例如HfO2 ,HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2 O5 、前述的組合或其他類似的材料,其第一厚度在大約5Ǻ和約20Ǻ之間,例如約10Ǻ。在利用沉積製程的實施例中,可以如圖所示順應性地形成介面層301,而在利用原位蒸汽產生的實施例中,可以沿著開口的底部形成介面層301,且介面層301不會沿著第一間隔件113的側壁延伸。
一旦形成介面層301,可在介面層301上形成第一介電材料303作為覆蓋層。在一實施例中,第一介電材料303是高介電常數材料,例如HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2 O5 、前述的組合或其他類似的材料,且透過例如原子層沉積、化學氣相沉積或其他類似的製程來沉積。可將第一介電材料303沉積至介於大約5Ǻ和大約200Ǻ之間的第二厚度,但也可使用任何適合的材料和厚度。
可形成第一金屬材料305或金屬閘極覆蓋層與第一介電材料303相鄰且作為阻障層,並可由例如TaN、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ru、Mo、WN的金屬材料、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氧氮化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、前述的組合或其他類似的材料所形成。可使用例如原子層沉積、化學氣相沉積、濺鍍或其他類似的沉積製程將第一金屬材料305沉積至介於約5Ǻ和約200Ǻ之間的第三厚度,但也可使用任何適合的沉積製程或厚度。
可形成第一p型金屬功函數層307,鄰接於第一金屬材料305,且在特定實施例中,可以類似於第一金屬材料305。例如,第一p型金屬功函數層307可由例如TiN、Ti、TiAlN、TaC、TaCN、TaSiN、TaSi2 、NiSi2 、Mn、Zr、ZrSi2 、TaN、Ru、Al、Mo、MoSi2 、WN的金屬材料、其他金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬氧氮化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、前述的組合或其他類似的材料形成。另外,可使用例如原子層沉積、化學氣相沉積、濺鍍或其他類似的沉積製程將第一p型金屬功函數層307沉積至約5Ǻ至約10Ǻ之間的第四厚度,但也可以使用任何適合的沉積製程或厚度。
另外,在沉積第一p型金屬功函數層307之後,透過移除虛設閘極電極111和虛設閘極介電質109所留下的開口已經被部分地填充。但是此開口的其餘部分仍將保持盡可能寬,以使後續的製程窗口(例如間隙填充製程窗口)保持盡可能寬來進行製程調整。但是亦可使用任何適合的寬度。
第4圖繪示一種處理製程(在第4圖中由標記為401的箭頭表示),其目的在於幫助阻止材料從其他結構(例如,上方的n型功函數層)擴散,並幫助在不增加第一p型金屬功函數層307的整體厚度的情況下保存可能擴散的元素。在一實施例中,可利用一或多個元素(例如矽)來執行處理製程,但也可利用任何適合的元素以保存擴散元素。
在利用矽的特定實施例中,可利用包含矽原子的氣態前驅物將矽引入第一p型金屬功函數層307。舉例而言,在一些實施例中,可透過將處理前驅物例如含矽前驅物(例如矽烷(SiH4 )或其他類似的前驅物)引入至容納第一p型金屬功函數層307的處理腔室,以將矽引入至第一p型金屬功函數層307中
在一實施例中,可透過將處理前驅物引入到處理腔室內的第一p型金屬功函數層307來啟動處理過程401。可透過使用例如氬氣的載體氣體將處理前驅物運送至處理腔室中來進行此引入。混合的處理前驅物和載體氣體可用介於約100sccm至約6,000sccm之間的流速引入處理腔室。
在腔室內,處理前驅物可與第一p型金屬功函數層307接觸,以在第一p型金屬功函數層307內引發化學反應。在一些實施方式中,可在處理腔室中以介於約25℃至約1000℃之間的溫度下(例如約400℃至約600℃之間)以及在介於約0.5托(torr)至約50托之間的壓力下進行化學反應,但是亦可使用任何適合的反應參數。
在利用矽前驅物作為處理前驅物的實施例中,透過利用處理製程401,存在於矽前驅物內的矽將與第一p型金屬功函數層307的材料(例如,TiN)反應,並使矽與第一p型金屬功函數層307的材料結合。在第一p型金屬功函數層307是氮化鈦且處理前驅物是矽前驅物的實施例中,可引入矽將第一p型金屬功函數層307轉變為氮化鈦矽(TiSiN)。
在一些實施例中,可執行處理製程401以將矽與第一p型金屬功函數層307結合。如此一來,可以介於約1秒至約1小時之間的時間(例如介於約30秒至約60秒之間)來執行處理製程401。 以這些時間段而言,處理製程401可使第一p型金屬功函數層307具有約1原子百分比(%-atomic)至約20原子百分比的矽濃度,但是亦可使用任何適合的矽濃度和任何適合的時間段。
透過將矽引入此結構中,處理製程401將用於防止附加元素(例如鋁)從例如第一n型金屬功函數層309的上方結構擴散。藉由防止附加元素的擴散,附加元素就無法擴散到結構的其他區域並干擾裝置的操作。
另外,藉由利用處理製程401來協助防止材料擴散,在第一p型金屬功函數層307和第一n型金屬功函數層309之間不需要設置其他附加層。此外,透過使用處理製程401,可將第一p型金屬功函數層307的厚度維持在其原始的沉積厚度。因此,可維持開口的第一寬度,且可得到用於後續沉積之更大的製程窗口。
第5圖繪示第一n型金屬功函數層309的沉積。在一個實施例中,第一n型金屬功函數層309可以是例如TiAlN、Ti、Ag、Al、TaAl、TaAlC、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料或前述組合。舉例而言,可利用原子層沉積(ALD)製程、化學氣相沉積(CVD)製程或其他類似的製程將第一n型金屬功函數層309沉積至介於大約20Ǻ和大約50Ǻ之間的第六厚度(例如大約30Ǻ),但也可利用任何適合的材料和製程來形成第一n型金屬功函數層309。
在沉積第一n型金屬功函數層309時,可能會存在一些元素(例如鋁)。如果允許這些元素擴散,將會對裝置產生負面影響。然而,當利用處理製程401時,將可減少或阻止可能存在於第一n型金屬功函數層309內的任何此類元素(例如,鋁)完全擴散通過此結構。在特定實施例中,透過減少或阻止鋁擴散到達第一介電材料303與第一金屬材料305之間的介面,亦可減少擴散到這些下方層中的鋁的總量,儘管每一層中的濃度梯度可能很小。舉例而言,元素(例如鋁)的濃度梯度可從第一n型金屬功函數層309延伸並進入第一p型金屬功函數層307,而在濃度梯度到達第一介電層303之前停止303。在其他實施例中,鋁將完全不會滲入第一介電材料303或介面層301,且不會影響閾值電壓。
第5圖亦繪示選擇性的膠層501和填充材料503的沉積。一旦已形成第一n型金屬功函數層309,可形成膠層501,以有助於黏著上方的填充材料503和下方的第一n型金屬功函數層309。且可提供用於形成填充材料503的成核層。在一實施例中,膠層501可以是例如氮化鈦或是其他類似於第一n型金屬功函數層309的材料,且可使用例如原子層沉積(ALD)的類似製程形成到大約10Ǻ至大約100Ǻ之間的第七厚度(例如大約50Ǻ),但是亦可使用任何適合的材料和製程。
一旦形成膠層501,利用膠層501來沉積填充材料503以填充開口的其餘部分。在一實施例中,填充材料503可以是例如Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、前述的組合或其他類似的材料,且可使用例如濺鍍、化學氣相沉積、原子層沉積、物理氣相沉積、前述的組合或其他類似的製程來形成。另外,可將填充材料503沉積至介於約1000Ǻ到約2000 Ǻ之間的厚度(例如約1500 Ǻ),但是亦可使用任何適合的材料。
第6圖繪示在已沉積填充材料503以填充和過度填充開口之後,可將材料平坦化以形成第一閘極堆疊603。在一實施例中,可使用例如化學機械研磨製程來將材料與第一間隔件113平坦化,但也可以使用任何適合的製程,例如研磨或蝕刻。
在已形成並平坦化第一閘極堆疊603的材料之後,可使第一閘極堆疊603的材料凹陷且用覆蓋層601覆蓋。在一實施例中,可使用例如對第一閘極堆疊603的材料具有選擇性的蝕刻劑的濕式或乾式蝕刻製程來使第一閘極堆疊603的材料凹陷。在一實施例中,第一閘極堆疊603的材料可凹陷大約5nm至大約150nm之間的距離(例如約120nm),但是亦可利用任何適合的製程和距離。
一旦第一閘極堆疊603的材料已凹陷,則可沉積覆蓋層601並與第一間隔件113平坦化。在一實施例中,覆蓋層601是例如SiN、SiON、SiCON、SiC、前述的組合或其他類似的材料,且使用例如原子層沉積、化學氣相沉積、濺鍍或其他類似的沉積製程來沉積。覆蓋層601可沉積至介於約5Ǻ至約200Ǻ之間的厚度,且接著使用例如化學機械研磨的平坦化製程來將其平坦化,使得覆蓋層601與第一間隔件113是平坦的。
透過利用本文所述的實施例,可減少或防止對裝置的操作可能造成有害影響的元素(例如鋁)的擴散。 如此一來,可減少元素的有害影響。舉例而言,在利用鋁的實施例中,可使鋁的擴散最小化,使得鋁不影響p型通道場效電晶體(p-channel field-effect transistor;PFET)裝置的閾值電壓,藉以在一些實施例中將平帶電壓(flatband voltage;Vfb )提高至約0.493伏特,相較於不使用本文所述實施例的裝置的輸出功率增加68mV。如此一來,可在不需要增加p型功函數金屬的厚度且不影響後續的間隙填充窗口的情況下改變閾值電壓。
在一些實施例中,揭露一種半導體裝置的製造方法。前述半導體裝置的製造方法包括:在半導體鰭片上方沉積閘極介電質;在前述閘極介電質上方沉積第一p型金屬功函數層;以含矽氣體處理前述第一p型金屬功函數層;以及在前述第一p型金屬功函數層上方沉積第一n型金屬功函數層。
在一些實施例中,前述含矽氣體為矽烷。
在一些實施例中,沉積前述第一p型金屬功函數層係沉積氮化鈦。
在一些實施例中,前述第一n型金屬功函數層包括碳化鈦鋁。
在一些實施例中,處理前述第一p型金屬功函數層係阻擋鋁從前述碳化鈦鋁擴散。
在一些實施例中,前述半導體裝置的製造方法更包括在前述第一n型金屬功函數層上方沉積膠層。
在一些實施例中,沉積前述第一p型金屬功函數層係沉積前述第一p型金屬功函數層至不大於10Å的第一厚度,且在以前述含矽氣體處理前述第一p型金屬功函數層之後,前述第一p型金屬功函數層具有前述第一厚度。
在一些實施例中,揭露一種半導體裝置的製造方法。前述半導體裝置的製造方法包括:在位於半導體鰭片上方的閘極介電質上方沉積第一p型金屬功函數層;在前述第一p型金屬功函數層上沉積第一n型金屬功函數層,其中前述第一n型金屬功函數層包括第一擴散元素;以及在沉積前述第一n型金屬功函數層之前,透過將矽引入前述第一p型金屬功函數層中以阻擋前述第一擴散元素擴散。
在一些實施例中,引入前述矽包括將前述第一p型金屬功函數層浸入含矽氣體中。
在一些實施例中,前述含矽氣體為矽烷。
在一些實施例中,在引入前述矽之後,前述第一p型金屬功函數層的矽濃度介於約1原子百分比至約20原子百分比之間。
在一些實施例中,將前述第一p型金屬功函數層浸入前述含矽氣體中包括浸入介於約30秒至約60秒之間。
在一些實施例中,浸入前述第一p型金屬功函數層係以介於約100sccm至約6000sccm之間的流量引入前述含矽氣體。
在一些實施例中,浸入前述第一p型金屬功函數層係以介於約400℃至約600℃之間的溫度以及介於約30秒至約60秒之間的時間來進行。
在一些實施例中,揭露一種半導體裝置。前述半導體裝置包括:半導體鰭片、閘極介電質、第一p型金屬功函數層、第一n型金屬功函數層以及鋁。閘極介電質係位於前述半導體鰭片上方。第一p型金屬功函數層係位於前述閘極介電質上方,其中前述第一p型金屬功函數層包括矽。第一n型金屬功函數層係位於前述第一p型金屬功函數層上方。鋁係位於前述第一p型金屬功函數層和前述第一n型金屬功函數層內,其中前述鋁的濃度梯度從前述第一n型金屬功函數層延伸至前述第一p型金屬功函數層且在延伸至前述閘極介電質之前終止。
在一些實施例中,前述第一n型金屬功函數層包括碳化鈦鋁。
在一些實施例中,前述第一p型金屬功函數層包括氮化鈦矽。
在一些實施例中,前述第一p型金屬功函數層內的矽濃度介於約1原子百分比至約20原子百分比之間。
在一些實施例中,前述第一p型金屬功函數層的厚度不大於10Å。
在一些實施例中,前述半導體結構更包括:膠層、填入材料以及介電蓋層。膠層係位於前述第一n型金屬功函數層上方。填入材料係位於前述膠層上方。介電蓋層係位於前述填入材料上。
以上概述了許多實施例的部件,使本揭露所屬技術領域中具有通常知識者可以更加理解本揭露的各實施例。本揭露所屬技術領域中具有通常知識者應可理解,可以本揭露實施例為基礎輕易地設計或改變其他製程及結構,以實現與在此介紹的實施例相同的目的及/或達到與在此介紹的實施例相同的優點。本揭露所屬技術領域中具有通常知識者也應了解,這些相等的結構並未背離本揭露的精神與範圍。在不背離後附申請專利範圍的精神與範圍之前提下,可對本揭露實施例進行各種改變、置換及變動。
100:半導體裝置 101:基底 103:第一溝槽 105:第一隔離區 107:鰭片 109:虛設閘極介電質 111:虛設閘極電極 113:第一間隔件 115:堆疊 201:源極/汲極區 203:層間介電層 3-3’:線 301:介面層 303:第一介電材料 305:第一金屬材料 307:第一p型金屬功函數層 309:第一n型金屬功函數層 401:處理製程 501:膠層 503:填入材料 601:蓋層 603:第一閘極堆疊
根據以下的詳細說明並配合所附圖式以更好地了解本揭露實施例的概念。應注意的是,根據本產業的標準慣例,圖式中的各種部件未必按照比例繪製。事實上,可能任意地放大或縮小各種部件的尺寸,以做清楚的說明。在通篇說明書及圖式中以相似的標號標示相似的特徵。 第1圖繪示根據一些實施例之半導體鰭片的形成的立體圖。 第2圖繪示根據一些實施例之源極/汲極區的形成。 第3圖繪示根據一些實施例之用於閘極堆疊的材料的形成。 第4圖繪示根據一些實施例之鈍化製程。 第5圖繪示根據一些實施例之填入材料的沉積。 第6圖繪示根據一些實施例之蓋體的形成。
100:半導體裝置
113:第一間隔件
301:介面層
303:第一介電材料
305:第一金屬材料
307:第一p型金屬功函數層
401:處理製程

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 在一半導體鰭片上方沉積一閘極介電質; 在該閘極介電質上方沉積一第一p型金屬功函數層; 以一含矽氣體處理該第一p型金屬功函數層;以及 在該第一p型金屬功函數層上方沉積一第一n型金屬功函數層。
  2. 如請求項1所述之半導體裝置的製造方法,其中該含矽氣體為矽烷。
  3. 如請求項2所述之半導體裝置的製造方法,其中沉積該第一p型金屬功函數層之操作係沉積氮化鈦。
  4. 如請求項3所述之半導體裝置的製造方法,其中該第一n型金屬功函數層包括碳化鈦鋁。
  5. 如請求項4所述之半導體裝置的製造方法,其中處理該第一p型金屬功函數層係阻擋鋁從該碳化鈦鋁擴散。
  6. 如請求項1所述之半導體裝置的製造方法,更包括在該第一n型金屬功函數層上方沉積一膠層。
  7. 如請求項1所述之半導體裝置的製造方法,其中沉積該第一p型金屬功函數層之操作係沉積該第一p型金屬功函數層至不大於10Å的一第一厚度,且在以該含矽氣體處理該第一p型金屬功函數層之後,該第一p型金屬功函數層具有該第一厚度。
  8. 一種半導體裝置的製造方法,包括: 在位於一半導體鰭片上方的一閘極介電質上方沉積一第一p型金屬功函數層; 在該第一p型金屬功函數層上沉積一第一n型金屬功函數層,其中該第一n型金屬功函數層包括一第一擴散元素;以及 在沉積該第一n型金屬功函數層之前,透過將矽引入該第一p型金屬功函數層中以阻擋該第一擴散元素擴散。
  9. 如請求項8所述之半導體裝置的製造方法,其中引入該矽之操作包括將該第一p型金屬功函數層浸入一含矽氣體中。
  10. 如請求項9所述之半導體裝置的製造方法,其中該含矽氣體為矽烷。
  11. 如請求項9所述之半導體裝置的製造方法,其中在引入該矽之後,該第一p型金屬功函數層的矽濃度介於約1原子百分比至約20原子百分比之間。
  12. 如請求項9所述之半導體裝置的製造方法,其中將該第一p型金屬功函數層浸入該含矽氣體中包括浸入介於約30秒至約60秒之間。
  13. 如請求項12所述之半導體裝置的製造方法,其中浸入該第一p型金屬功函數層係以介於約100sccm至約6000sccm之間的流量引入該含矽氣體。
  14. 如請求項13所述之半導體裝置的製造方法,其中浸入該第一p型金屬功函數層係以介於約400℃至約600℃之間的溫度以及介於約30秒至約60秒之間的時間來進行。
  15. 一種半導體裝置,包括: 一半導體鰭片; 一閘極介電質,位於該半導體鰭片上方; 一第一p型金屬功函數層,位於該閘極介電質上方,其中該第一p型金屬功函數層包括矽;以及 一第一n型金屬功函數層,位於該第一p型金屬功函數層上方; 其中該第一p型金屬功函數層和該第一n型金屬功函數層內包括鋁,該鋁的濃度梯度從該第一n型金屬功函數層延伸至該第一p型金屬功函數層且在延伸至該閘極介電質之前終止。
  16. 如請求項15所述之半導體結構,其中該第一n型金屬功函數層包括碳化鈦鋁。
  17. 如請求項16所述之半導體結構,其中該第一p型金屬功函數層包括氮化鈦矽。
  18. 如請求項15所述之半導體結構,其中該第一p型金屬功函數層內的矽濃度介於約1原子百分比至約20原子百分比之間。
  19. 如請求項15所述之半導體結構,其中該第一p型金屬功函數層的厚度不大於10Å。
  20. 如請求項15所述之半導體結構,更包括: 一膠層,位於該第一n型金屬功函數層上方; 一填入材料,位於該膠層上方;以及 一介電蓋層,位於該填入材料上。
TW109111693A 2019-04-12 2020-04-08 半導體裝置及其製造方法 TWI798543B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/382,777 2019-04-12
US16/382,777 US11127857B2 (en) 2019-04-12 2019-04-12 Semiconductor device and method of manufacture

Publications (2)

Publication Number Publication Date
TW202105618A true TW202105618A (zh) 2021-02-01
TWI798543B TWI798543B (zh) 2023-04-11

Family

ID=72613627

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109111693A TWI798543B (zh) 2019-04-12 2020-04-08 半導體裝置及其製造方法

Country Status (5)

Country Link
US (1) US11127857B2 (zh)
KR (1) KR102263324B1 (zh)
CN (1) CN111816564A (zh)
DE (1) DE102019110196A1 (zh)
TW (1) TWI798543B (zh)

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933021B2 (en) * 1995-07-06 2005-08-23 Applied Materials, Inc. Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US9331174B2 (en) * 2010-04-15 2016-05-03 Globalfoundries Inc. Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
CN104160507B (zh) 2011-12-28 2017-10-24 英特尔公司 在三栅极(finfet)工艺上集成多个栅极电介质晶体管的方法
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR20140006204A (ko) * 2012-06-27 2014-01-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US20150061042A1 (en) * 2013-09-03 2015-03-05 United Microelectronics Corp. Metal gate structure and method of fabricating the same
US9384984B2 (en) * 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
KR102216575B1 (ko) * 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9553092B2 (en) 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
CN106601605B (zh) * 2015-10-19 2020-02-28 中芯国际集成电路制造(北京)有限公司 栅极堆叠结构、nmos器件、半导体装置及其制造方法
US9620610B1 (en) 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US10109507B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US10128237B2 (en) 2016-06-24 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
KR102553260B1 (ko) 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
CN108022879B (zh) 2016-11-04 2020-07-10 中芯国际集成电路制造(上海)有限公司 多阈值电压晶体管及其形成方法
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
CN108630751B (zh) 2017-03-21 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10985075B2 (en) * 2018-10-11 2021-04-20 International Business Machines Corporation Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages

Also Published As

Publication number Publication date
US11127857B2 (en) 2021-09-21
DE102019110196A1 (de) 2020-10-15
US20200328299A1 (en) 2020-10-15
KR102263324B1 (ko) 2021-06-15
CN111816564A (zh) 2020-10-23
TWI798543B (zh) 2023-04-11
KR20200120859A (ko) 2020-10-22

Similar Documents

Publication Publication Date Title
US11670635B2 (en) Semiconductor device and method
US11616132B2 (en) Semiconductor device and methods of manufacture
TWI715218B (zh) 半導體元件及其製造方法
CN112420515A (zh) 半导体装置的形成方法
US20220173222A1 (en) Semiconductor Device and Method of Manufacture
US20210327761A1 (en) Semiconductor device and method of manufacture
TWI746025B (zh) 半導體裝置及其製造方法
TWI798543B (zh) 半導體裝置及其製造方法
TWI835119B (zh) 半導體裝置及其製造方法
CN220963349U (zh) 半导体装置
US20220376077A1 (en) Semiconductor Device and Method of Manufacture
TW202305949A (zh) 半導體裝置及其製造方法