TW200539345A - Dual doped polysilicon and silicon germanium etch - Google Patents

Dual doped polysilicon and silicon germanium etch Download PDF

Info

Publication number
TW200539345A
TW200539345A TW094107721A TW94107721A TW200539345A TW 200539345 A TW200539345 A TW 200539345A TW 094107721 A TW094107721 A TW 094107721A TW 94107721 A TW94107721 A TW 94107721A TW 200539345 A TW200539345 A TW 200539345A
Authority
TW
Taiwan
Prior art keywords
layer
etching
patent application
item
polycrystalline silicon
Prior art date
Application number
TW094107721A
Other languages
English (en)
Other versions
TWI456650B (zh
Inventor
C Robert Koemtzopoulos
Yoko Yamaguchi Adams
Yoshinori Miyamoto
Yousun Kim Taylor
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200539345A publication Critical patent/TW200539345A/zh
Application granted granted Critical
Publication of TWI456650B publication Critical patent/TWI456650B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

200539345 (1) 九、發明說明 【發明所屬之技術領域】 本發明係有關半導體裝置。更特定言之,本發明係有 關具有經摻雜的多晶矽和矽化鍺堆疊之半導體裝置。 【先前技術】 使用有經摻雜和未摻雜的多晶矽和矽化鍺(Si Ge )區 φ 之薄膜堆疊的形成來形成半導體裝置用之閘電極。 【發明內容】 爲了達到前述且根據本發明目的,提供一種在一處理 室內蝕刻在一基板上有至少一矽鍺層的堆疊之方法。提供 一種對矽化鍺之蝕刻。於處理室中提供蝕刻劑氣體,其中 該蝕刻劑氣體包括HBr,一惰性稀釋劑,和在02與N2中的 至少一者。該基板係經冷卻到低於40 °C的溫度。該蝕刻氣 φ 體係經轉換成電漿以鈾刻該矽化鍺層。 於本發明另一顯示例中,提供一種蝕刻在基板上的多 晶之方法,其中該基板係經置放於一處理室內。於該處理 室內提供一蝕刻劑氣體,其中該蝕刻劑氣包括N2,SF6, 和在CHF3與CH2F2中的至少一者。該蝕刻劑經轉換爲電漿 以触刻該多晶砂層。 於本發明另一顯示例中,提供一種蝕刻在一基板上具 有至少一矽化鍺層的堆疊所用裝置。該裝置包括一處理室 ,一氣體源,一供能源,一溫度控制裝置用以控制該基板 -5- (2) 200539345 的溫度,和一控制器。該控制器包括電腦可讀媒體,內含 電腦可讀代碼用以從氣體源提供鈾刻劑氣體到該處理室內 ,其中該鈾刻劑氣體包括HBr,惰性稀釋劑,與在02和N2 中的至少一者;電腦可讀代碼用以將基板冷卻到低於40 之溫度;及電腦可讀代碼用來使用該供源將該蝕刻氣體轉 換成電漿以蝕刻該矽化鍺層。
【實施方式】 較佳具體實例之詳細說明 至此要參照一些如附圖中示範出的本發明較佳具體實 例來詳細說明本發明。於下面的說明中,列出許多特定的 細部以提供對本發明的徹底了解。不過,對於諳於此技者 明顯可知本發明可以不需要某些或全部此等特定細部即實 施。於其他情況中,沒有詳述熟知程序步驟及/或結構以 免不必要地混淆本發明。 爲了幫助了解,圖1爲形成可用爲閘電極的經蝕刻薄 膜堆疊所示出之高水平流程圖。形成一有諸多層的堆疊( 步驟104 )。蝕刻該諸層的堆疊(步驟108 )。圖2爲形成 諸多層的堆疊之更詳細流程圖(步驟204 )。圖3A爲具有 在基板3 08上面形成的閘氧化物層3 04之薄膜堆疊的橫斷面 圖。於閘氧化物層3 04上面形成一矽晶種層312 (步驟208 )。於閘氧化物層3 04上面形成一矽化鍺(Si Ge)層316 ( 步驟212 )。於該Si Ge層3 16上面形成一多晶矽層320 (步 驟2 1 6 )。該多晶矽層3 2 0包括雙重摻雜層和一未摻雜層 -6 - 200539345 (3) 3 0 4。該雙重摻雜層包括經摻雜區3 2 8和未摻雜區3 2 2。可 以使用摻雜區和未摻雜區之各種組態。通常,多晶矽層 3 2 0的經最濃摻雜區可靠近摻雜區3 2 8的頂部,而形成從具 有最濃摻雜區的頂部到具有最少摻雜區或未摻雜區的多晶 矽層頂部之梯度。經濃摻雜區係位於多晶矽層的頂部。摻 雜水平隨著愈深遞減且於最後該多晶矽在更深處變成未摻 雜。於該經雙重摻雜多晶矽層3 2 0上面放置一防反射塗層 鲁 (ARC ) 3 32,例如底部防反射層(BARC層)(步驟220 )。於該ARC層332上面形成一光阻劑罩336 (步驟224) 〇 於堆疊的一例子中,該鬧氧化物層3 0 4可爲約1 . 5奈米 厚。該矽晶種層3 1 2可爲約1 0奈米厚。該S i G e層3 1 6可爲約 20奈米厚。該經雙重摻雜多晶矽層3 20可爲約100奈米厚。 該經雙重摻雜多晶矽層3 20的最濃摻雜區32 8可具有50 - 70 奈米之深度。該ARC層332可爲約100奈米厚。該193奈米 φ 只阻劑罩可爲約190奈米厚。 此等堆疊的蝕刻具有加添的困難性,在於經摻雜多晶 矽區的蝕刻特性不同於蝕刻未摻雜多晶矽區之特性。其結 果使可在未摻雜多晶矽區提供垂直蝕刻劑面的蝕刻方法可 能在摻雜多晶矽區中提供倒切(under cutting )。於另一 例子中’可對經摻雜多晶矽區提供垂直蝕刻剖面的蝕刻可 能對未摻雜多晶矽區提供漸細的輪廓或底部(footers )。 在經雙重摻雜的多晶矽層3 20下面的SiGe層3 16具有不 同於經雙重摻雜多晶矽層3 2 0之之蝕刻性質。不同於矽晶 (4) (4)200539345 種層3 1 2的S i G e層3 1 6蝕刻性質和S i G e層3 1 6薄度更添加額 外的蝕刻困難性。於此等困難性中,可以對經雙重摻雜多 晶矽3 20提供垂直蝕刻之蝕刻程序可能在Si Ge層3 16中造成 倒切或在矽晶種層3 1 2中形成底腳。由S i G e層和晶種層的 薄度所造成的困難性之部份原因在於對於此等薄層更難以 具有分開的蝕刻步驟。其中係使用單一蝕刻步驟來同時蝕 刻S i G e層的晶種矽層。垂直蝕刻係重要者,且甚至更重要 者爲靠近堆疊的底部者,係因爲靠近堆疊底部的尺寸有助 於界定閘長度之故。所以,倒切或底腳的形成都會改變閘 長度。 此外,該光阻劑較佳者爲1 9 3奈米或更後面世代的阻 劑,其比較早世代阻劑更爲柔軟。因此,本發明蝕刻必須 更具選擇性且提供等些鈍化作用以保護光阻劑飩刻罩而不 提供太多的可能促成蝕刻停止之鈍化。 圖4爲蝕刻層堆疊的步驟(步驟1 0 8 )之更詳細流程圖 。首先修整光阻劑罩(步驟4 0 4 )。於此可以使用如下所 述之新穎修整程序以減小光阻劑罩的臨界尺寸。接著蝕刻 ARC層,於此具體實例中爲一 BARC層(步驟408)。於此 可以使用習用的BARC蝕刻法來蝕穿該BARC層。 然後使用貫穿.蝕刻來蝕穿由多晶矽層3 2 0的未摻雜區 3 22和摻雜區32 8所形成的經雙重摻雜區之至少部份(步驟 412 )。在多晶矽層頂部的未摻雜區322和摻雜區3 2 8係同 時予以蝕刻。於此具體實例中,該貫穿蝕刻係提供包括U2 ,SF6,和在CHF3與CH2F2中的至少一者之鈾刻劑氣體。 200539345 (5) 將蝕刻劑氣體經轉換爲電漿,該電漿係用來蝕穿該 層3 20的摻雜區3 2 8和未摻雜區3 22。雖然貫穿蝕刻 穿過在多晶矽上形成的天然氧化物,不過於此步驟 的貫穿蝕刻係用來蝕穿在經雙重摻雜多晶矽上形成 氧化物及該經雙重摻雜多晶矽層的最濃摻雜區。本 穿鈾刻可提供最低的摻雜/未摻雜輪廓負載結果, 摻雜區和未摻雜區都以相同的蝕刻特性予以蝕刻, 雜和未摻雜輪廓看起來相似。 貫穿蝕刻後面接著爲第一主蝕刻,係用來蝕穿 多晶矽層3 24 (步驟4 1 6 )。於此具體實例中,該穿 雜多晶矽層的第一主蝕刻係使用包括(C2,HBr CF4中至少'一者的蝕刻劑氣體。此蝕刻步驟可以 Si Ge層3 16蝕刻出一特件。業經發現者,此種蝕刻 閘氧化物具有低選擇性,所以宜於在蝕刻特性達到 物之前停止貫穿蝕刻。可以使用一干涉儀終點來蝕 到距閘氧化物層3 0 4約4 0奈米的距離處。此外,可 光學發散終點在多晶矽/Si Ge介面停止蝕刻。 該第一主蝕刻之後接著對蝕刻停止更高選擇性 主蝕刻(步驟420 ),其係針對閘氧化物層者。於 實例中,該第二主蝕刻係使用HBr和02作爲蝕刻劑 該第二主蝕刻係用來高度選擇性地蝕刻多晶矽層, ,和砂晶種層。 於第二主蝕刻到達蝕刻停止之後,實施一過鈾 (步驟424 )以完成SiGe層和矽晶種層的蝕刻。所 多晶矽 意謂著 中所用 的天然 發明貫 使得經 使得摻 未摻雜 過未摻 ,〇2和 用來對 方法對 閘氧化 刻特件 以使用 之第二 此具體 氣體。 SiGe 層 刻步驟 以,於 -9- 200539345 (6) 此具體實例中,係使用S i G e餓刻作爲多晶砂過蝕刻步騾以 完成對多晶矽層3 2 0,S i G e層和矽晶種層之蝕刻。於此具 體實例中,S i G e層和矽晶種層的蝕刻係使用Η B r,H e和U 2 之蝕刻劑氣體。此過飩刻係用來完成蝕刻及淸除殘渣。業 經發現者,經由在低於4 0 °C的溫度實施此蝕刻可提供意外 的結果,可對Si Ge層和矽晶種層兩者都提供垂直鈾刻輪廓 而沒有倒切,弓形,或形成底腳。 進行數個的期間的實驗以期找到在蝕刻經雙重摻雜的 多晶矽層,Si Ge層和晶種層的提供垂直蝕刻輪廓之方法。 所嘗試的蝕刻方法沒有一者經發現可提供合意的結果,直 到在Si Ge蝕刻中使用採用低於40 °C的較低處理溫度之實驗 意外地提供合意的輪而沒有倒切,弓形,或形戶底腳爲止 〇 通常,對每一触刻步驟都提供一過蝕刻步驟。若使用 蝕刻監視器來測定何時到達終點之時,可以使用過蝕刻步 驟在要蝕刻超過終點一預定量之時提供額外的蝕刻。 實施例1 於本發明一實施例中,係形成層合堆疊。該層合堆疊 可以使用圖.2中所述諸步驟形成爲圖3A中所示層堆疊。於 此實施例中’該閘氧化物層3 0 4爲1 . 5奈米厚。矽晶種層 3 12爲10奈米厚。該。(36層316爲2〇奈米厚。該經雙重摻雜 多晶矽層爲100奈米厚。ARC層332爲100奈米厚。該193光 劑劑罩3 3 6爲1 9 0奈米厚。然後將基板放到處理室內。 -10- (7) 200539345 圖5爲可用於本發明較佳具體實例中的處理室5 00之示 意圖。於此實施例中,該處理室包括Lam Research Corporation of Fremont, Caloforma ,的 23 00 Versys Silicon。該電漿處理室500可包括一感應線圈504,一下電 極5 0 8,一氧體流510,和一排放泵520。於該電漿處理室 5 00之內,基板3 08係經放置在下電極5 0 8之上。該下電極 5 08倂有一適當的基板扣定機構(如靜電,機械夾取,或 # 類似者)用以支撐該基板3 08。反應器頂部528倂有一介電 性窗。該室頂5 2 8,室壁5 5 2,和下電極5 0 8 —起界定一侷 限的電漿體積540。氣體係由氣體源透過氣體入口 543供給 到侷限電漿體積且由排放泵5 20從該侷限電漿體積排放出 。排放泵520形成該電漿處理室的氣體出口。一第一 RF源 5 44係經電連接到該線圈504。一第二RF源548係經電連接 到到下電極508。於本具體實例中,該第一和第二RF源 544,548都包括一 13,56MHz功率源。可有不同的RF源連 # 到電極之組合。一控制器5 3 5經可控制地連接到第一 RF源 544,該第二RF源548,排放泵520,和氣體源510。基板 冷卻系統包括一冷卻一冷卻器用之冷卻器5 5 2和一將冷卻 劑從該冷卻器5 5 2通到且穿過該下電源5 08 (靜電卡盤)然 後回到冷卻器5 5 2所用之流體輸送裝置5 5 6。經冷卻的下電 極508可冷卻該基板。此外,在下電極內部裝有加熱器560 用以加熱基板5 8 0。加熱器5 6 0和基板冷卻系統及控制器 5 3 5可以將溫度控制到可以在不同步驟提供不词的基板溫 度,如在下面諸實施例中所提出者。 -11 - 200539345 (8) 圖6A和6B闡明出一電腦系統840,其適合用來執行本 發明具體實例中所用的控制器5 3 5。圖6A顯示出該電腦系 統的一可能的物理形式。當然,該電腦系統可具有許多物 理形式,從積體電路,印刷電路板,和小型手持裝置到巨 型超電腦。電腦系統8 00包括一監視器8 02,一顯示器804 ,一外殼806,一磁碟機8 0 8,一鍵盤810,和一滑鼠812。 磁碟814爲一種電腦可讀式媒體,用以從電腦系統800存取 資料。 圖6B爲電腦系統8 〇0方塊圖之一例子。接到系統母線 820者爲廣多種子系統。處理器822 (也稱中央處理單元或 (PUS )係經耦接到儲存裝置,包括記憶體8 24。記憶體 824包括隨機存取記憶體(ram)和唯讀記憶體(ROM) 。如技藝中所熟知者,ROM的作用爲將資料和指令單向地 傳送到CPU而RAM典型地係用來將資料和指令以雙向方式 傳送。這兩種類型的記憶體可包括任何種適當的下述電腦 可讀媒體。一固定磁碟82 6也雙向地耦接到CPU822 ;其可 提供額外的資料儲存容量且也可包括任何種下述電腦可讀 媒體。固定磁碟826可用來儲存程式,數據,和類似者且 典型地爲一種比初級儲存較爲慢之二次儲存媒體(例如硬 碟)·。要了解者,保留在固定磁碟826內的資訊可,於恰 當情況中,係以標準方式在記憶體824內倂組成虛擬記憶 體。可取出的磁碟8 1 4可採取任何下述電腦可讀媒體之形 式。 CPU 8 2 2也經耦接到多種輸入/輸出裝置,例如顯示器 -12- (9) 200539345 8 04,鍵盤810,滑鼠812和揚聲器8 3 0。通常 出裝置可爲下列中任何一者:視訊顯示器,磁 ,鍵盤,微音器,觸感式顯示器,傳感器卡讀 紙帶讀器,或其他的電腦。CPU 822視需要可 一電腦或使用網路介面840接到遠端通信網路 網路介面,可擬及者,該CPU可接收來自網路 可在實施上面方法步驟的過程中將資訊輸出到 Φ ,本發明方法的具體實例可以僅在CPU 82 2上 共有處理部份的遠端CPU配合而在網路上執行 此外,本發明的具體實例進一步有關具有 體的電腦儲存產品,其上可具有電腦代碼以實 執行操作。該媒體和電腦代碼可針對本發明目 設計和構成,或彼等可爲諳於電腦軟體技藝者 去用之類型。電腦可讀媒體的例子包括,但不 媒體例如硬碟,軟碟,和磁帶;光學媒體例如 Φ 和全息影像裝置;磁一光學媒體例如軟式光碟 置,其係經特別構組以儲存和執行程式代碼, 異性積體電路(ASICs ),可編程邏輯裝置 ROM和RAM裝置。電腦代碼的例子包括機器 編譯器所產生者,和包含更高層次要由電腦使 執行的檔。電腦可讀媒體也可爲由埋置於載波 可由處理器執行的指令序列之電腦資料信號所 代碼。 光阻劑修整可在處理室5 00內完成或在將: ,一輸入/輸 軌球,滑鼠 器,磁帶或 以耦接到另 。使用此種 的資訊,或 網路。另外 執行或可與 〇 電腦可讀媒 施各種電腦 的而特定地 所熟知且可 限於:磁性 CD-ROMs ;及硬體裝 例如應用特 (PLDs)及 代碼,例如 用解釋程式 中且呈現一 傳遞之電腦 基板放置在 -13- (10) 200539345 處理室5 00內部之前完成。於修整程式(步驟404 )的一較 佳具體實例中,係提供HBr,02和CHF3以在處理室內修整 阻劑。於此實施例中,修整氣體爲30sccm HBr,30sccm 02,和40sccm CHF3。處理室內的壓力爲5mTo:rr。供應到 處理室的TCP功率爲25 0瓦(Watts )。偏壓爲70伏。於靜 電卡盤中提供8Tori·的氮氣背側壓力。提供60t的靜電卡 盤溫度。此步驟係維持44秒。此較佳具體實例提供一改良 φ 的光阻劑修整。 然後開放BARC層。可以使用習用的BARC開放步驟。 B ARC鈾刻可在處理室500之內完成,或在將基板放到處理 室5 0 0內之前完成。此等B ARC開放程序(步驟4 0 8 )可以 ‘ 使用Cl2,02,和He來蝕刻BARC。 用於貫穿步驟(步驟412 )時,係提供包括N2,SF6, 和在CHF3和CH2F2中的至少一者。於此實施例中,蝕刻劑 氣體爲 lOOsccm CHF3,50sccm N2,和 20sccm SF6。處理 # 室中的壓力爲5mT〇rr。供給到處理室的TCP功率爲275瓦 。偏壓爲135伏。於靜電卡盤提供8T〇rr皂氦氣背側壓力。 提供6 0 °C的靜電卡盤溫度。此步驟經維持2 5秒鐘。 對於第一主蝕刻步驟(步驟4 1 6 ),係提供包括C】2, HBr,02,和CF4中至少一者的鈾刻劑氣體·。於此實施例 中,該蝕刻劑氣爲 50sccm Cl2,250sccm HBr,50sccm CF4,和5sccm 02。處理室中的壓力爲1 OmTorr。供給到處 理室的TCP功率爲600瓦。偏壓爲125伏。提供8Torr的氦氣 背側壓力。提供6(TC的靜電卡盤溫度。此步驟經維持7秒 -14- (11) (11)200539345 鐘。 對於第二主蝕刻步驟(步驟4 2 0 ),係提供包括Η B r ,一惰性稀釋劑,與在〇 2和N 2中的至少一者之蝕刻劑氣體 。於此實施例中,該蝕刻劑氣體爲l80sccm HBr與 〇2。處理室中的壓力爲6m Torr。供給到處理室的TCP功率 爲3 5 0瓦。偏壓爲6 5伏。提供8 T 〇 r r的氨氣背側壓力。提供 6 0°C的靜電卡盤溫度。此步驟經維持14秒鐘。 對於過蝕刻步驟(步驟4 2 4 ),其也爲s i G e蝕刻,係 提供包括Η B r,惰性稀釋劑,與在〇 2和n 2中的至少一者之 蝕刻劑氣體。於此實施例中,該蝕刻劑氣體爲133 seem HBr和2sccm 02。處理室中的壓力爲80mTorr。供給到處理 室的TCP功率爲5 00瓦。偏壓爲210伏·。提供8Torr的氦氣背 側壓力。提供3 0 °C的靜電卡盤溫度。此步驟經維持7 2秒鐘 。因爲低處理溫度之故,S i G e蝕刻步驟非常頑強且能夠 支撐整個步驟時間而沒有S i G e層的側向侵害。於触刻劑氣 體中也可以添加惰性氣體例如氦氣。於此實施例中,係加 入26 7sCcm He。於本發明裝置中的加熱器和冷卻器能夠提 供含意的溫度控制,其可將基板溫度在步驟之間從60 °C改 變到3 (TC。該加熱器和冷卻器提供快速改變溫度之能力。 此實施例經發現可提供跨晶圓之均勻蝕刻。 其他實施例 (12) (12)200539345 表1提供貫穿蝕刻所用的較佳,更佳,和最佳範圍。 表1
較佳範圍 更佳範圍 最佳範圍 n2 20-100sccm 25-75sccm 40-60sccm sf6 5-50sccm 10-30sccm 15-25sccm chf3 30-200sccm 50-150sccm 75-125sccm TCP 100- 1 000 Watts 1 5 0-600Watts 200-400 Watts 偏壓 25 -200Volts 5 0- 1 75 Volts 1 00-150Volts 壓力 1 - 4 0 m Torr 3 -2 OmTorr 5-10mTorr 流速比 C H F 3 : s F 6 50:1-2:1 20:1-3:1 10:1-3:1 流速比 chf3 :ν2 5:1-1:1 4:1-1:1 3:1-2:1 溫度 1 0 - 9 0 °C 2 5 > 8 0 °C 3 0 - 6 0 °C (13) 200539345 表2提供第一主蝕刻所用的較佳,更佳和最佳範圍。 表2
較佳範圍 更佳範圍 最佳範圍 C12 20-100sccm 25-75 seem 40-60 seem cf4 i0-100sccm 30-70 seem 40-60 seem HBr 100-500sccm 150-400 seem 200-300 seem 〇2 1-20 seem 2-15 seem 3-10 seem TCP 100- 1 000 Watts 3 00- 8 00 Watts 5 00-700 Watts 偏壓 5 0-200Volts 1 00- 1 5 0 Volts 115-135 Volts 壓力 l-40mTorr 3-20 mTorr 5-15 mTorr 流速比 HBr:CF4 10:1-2:1 8:1-3:1 6:1-4: 1 流速比Η B r : 0 2 100:1-10:1 80:1 -20: 1 60:1-40:1 流速比 Η B r : C 12 10:1-2:1 8:1-3:1 6:1-4:1 溫度 1 0 - 9 0 °C 2 5 - 7 0 °C 3 0 - 6 0 °C -17- (14) 200539345 表3提供第二主蝕刻所用的較佳,更佳和最佳範圍。 表3
較佳範圍 更佳範圍 最佳範圍 〇 2 100-400sccm 150-300 seem 160-200 seem HBr 100-600 seem 200-500 seem 300-400 seem TCP 1 00-600 Watts 200-5 00 Watts 3 00-400 Watts 偏壓 2 0-110 Volts 40- 1 00 Volts 60-90 Volts 壓力 l-20mTorr 3-15 m T o rr 5-10 mTorr 流速比Η B r : 0 2 100:1-10:1 80:1-20:1 5 0:1 -3 0:1 溫度 1 0 - 9 0 〇C 1 5 - 7 0 °C 2 0 - 6 0 °C 若用Ns取代〇2,則在上述實施例中n2具有與〇2相同 的流速和流速比。此外,除了氧氣外也可以使用相同量的 氮氣。
表4提供蝕刻SiGe層和矽晶種層的多晶矽過蝕刻( S i G e蝕刻)所用的較佳’更佳,與最佳範圍。 200539345 (15) 表4
較佳範圍 更佳範圍 最佳範圍 〇2 0.5-2 Oseem 1-10 seem 1-5 seem HBr 8 0-3 00 seem 100-200 seem 120-150 seem TCP 100-1000 200-750Watts 400-600 Watts Watts 偏壓 1 00-400 Volts 1 50-3 00 Volts 1 80-25 0 Volts 壓力 20-100 mTorr 40-90 mTorr 60-80 mTorr 流速比ΗΒΓ:〇2 200:1-10:1 100:1-20:1 80:1-30:1 溫度 0-4 0°C 1 0 - 3 0 〇C 2 0 - 3 0 °C
若用仏取代02,則N2具有與上述實施例中的〇2相同 之流速和流速比。此外,除了氧氣外也可以用相同的量使 用氮氣。 於上述諸實施例中,蝕刻氣體基本上係由所載成分氣 體所構成。於其他具體實例中,可以使用別的或加添的成 分氣體。 於另一實施例中,使用5mTorr壓力,300瓦的TCP功 率’ 53伏的偏壓’和6〇r的卡盤溫度之貫穿法可以使用含 ChF2 ’ SF6 ’和N2之貫穿氣體混合物。於一實施例中,該 貝贫氣體混合物包括3〇sccm的CH2F2,20sccm的SF6,和 5〇Sccm的N2 ’其係經維持37秒鐘。於另—實施例中,該貫 穿氣體混合物包括40sccm CH2F2,20 seem SF6 ,和 5QSeem N2 ’其係維持52秒鐘。雖然此等方法提供良好結 -19- (16) (16)200539345 果,不過經發現者,使用CH2F2時的程序窗顯得比使用 CH3F的程序窗較爲小。業經發現者,將TCP功率增加到至 少6 0 0瓦有助於減低頸縮現象(n e c k i n g )。 透過在60 °C的實驗,業經發現者,於Si Ge鈾刻中降低 HBr/He比例可減少SiGe層弓變化(bowing ),不過仍可能 造成矽晶種層中的小底腳。也發現者,延長SiGe蝕刻步 驟會增加SiGe輪廓弓化。 業經發現者,於Si Ge蝕刻步驟中,將基板溫度降低 到低於4 0 °C,較佳者到約3 0 °C,可導致晶種矽,多晶矽, 和SiGe層各具有約相同蝕刻特性之蝕刻。基板溫度的降低 可提供非常頑強的程序,其中參數中的輕微變化不會明顯 地增加倒切或弓變化,或底腳的形成。所以在SiGe蝕刻 中減低溫度可促成程序參數的某些變異而不會影響經蝕刻 特件的垂直輪廓。將基板維持在3 (TC可經由用冷卻器5 5 2 將冷卻體冷卻到2(TC而完成。冷卻液體係通過下電極508 以冷卻該下電極到20°C,而此可冷卻基板3 08到20°C。然 後使用加熱器5 60將基板加熱到30t:。 本發明的其他具體實例係用貫穿蝕刻替代第一主蝕刻 ,因而延長貫穿触刻步驟且消除掉第一主触刻。 其他具體實例可在低於40 °C的溫度下實施第二主蝕刻 以獲得更頑強的結果。本發明蝕刻也提供足夠的鈍化以防 上倒切,而不造成蝕刻停止。於另一實施例中,係在第二 主蝕刻中提供少量的Cl2以消除或減少在第二主蝕刻中形 成的任何底腳。 -20- (17) (17)200539345 也發現者,本發明蝕刻可提供減低的線邊緣粗糙度。 此外也相信本發明可在修整步驟中促成更大的鈍化作用因 而減低側壁粗糙度。 本發明其他具體實例可提分開提供本發明SiGe蝕刻 以取代多晶矽過蝕刻。 爲了幫助了解,圖7 A — 7D爲使用多種方法鈾刻過的 多種輪廓之示意圖解,其中7A — C爲使用先前技藝方法蝕 刻出的輪廓之示意圖示而圖7 D爲使用本發明蝕刻出的輪 廊之不意圖解。隱7 A爲在一基板7 0 8上的鬧氧化物層上面 的堆疊之示意圖解,此.處該堆疊包括一矽晶種層7 1 2,一 SiGe層716,和一多晶矽層722,具有經摻雜區和未摻雜區 。於此實施例中先前技藝蝕刻方法造成一彎曲SiGe部份 722與一晶種矽腳724。於此實施例中,彎曲部和底腳的組 合沒有造成任何CD增益。圖7B爲用先前技藝蝕刻的堆疊 之示意圖解,其中造成一較不彎曲的SiGe部份732和一較 大的晶種矽腳734,此處該彎曲部和底腳的組合造成CD增 益。圖7C爲使用先前技藝蝕刻的堆疊之示意圖解,其中造 成一垂直的S i G e部份和一晶種矽腳7 4 4,此處該底腳造成 CD增益。圖7D爲使用本發明蝕刻一堆疊之示意圖解.,造 成垂直的S i G e層和晶種矽蝕刻。 雖然本發明已就數個較佳具體實例予以說明過,不過 仍有落於本發明範圍內的變更,排列,修飾和各種取代等 效物。也必須提及者有許多替恣方式可用來實施本發明方 法和裝置。所以下面所附申請專利範圍理應解釋爲包括落 (18)200539345 於本發明真旨意和範圍內的所有此等變更,排 各種替代等效物。 【圖式簡單說明】 本發明要於所附圖式的圖中範例地,而 明,且於圖式中相同的指示數字係指示相似的 中 圖1爲形成可供閘電極所用的經蝕刻堆疊 程圖。 圖2爲形成諸層的堆叠之更詳細流程圖。 圖3 A - 3 B爲具有在基板上形成的閘氧化今 薄膜堆疊的示意橫斷面圖。 圖4爲鈾刻諸層的堆疊之更詳細流程圖。 圖5爲可用於本發明較佳具體實例中的處 圖。 圖6A和6B示範出一適合用來執行一控 系統。 圖7A-D爲經蝕刻的薄膜堆疊之示意圖。 列,修飾和 非限制地闡 元件且於其 之高水平流 &層 3 0 4之 理室之示意 制器之電腦 【主要元件符號說明】 304 閘氧化物層 308, 580, 708 基板 3 1 2,7 1 2 矽晶種層 316, 716 S i Ge 層 -22- 200539345
(19) 320 , 722 多晶ί夕層 322 未慘雑區 324 未摻雜層 328 摻雜區 332 防反射層 336 光阻劑罩 500 處理室 5 0 4 感應線圈 508 下電極 5 10 氣體源 520 排放泵 528 反應器頂部 535 控制器 543 氣體入口 544 第一 RF源 548 第二RF源 552 室壁 552 冷卻器 556 流體輸送裝置 560 加熱器 724 , 744 晶種矽腳 732 較不變的SiGe部份 734 較大晶種矽腳 800 電腦系統 -23- 200539345 (20) 802 804 806 808 8 10 8 12 8 14 φ 820 824 826 830 840 監視器 顯示器 外殼 磁碟機 鍵盤 滑鼠 磁碟 系統母線 記憶體 固定磁碟 揚聲器 網路介面
-24

Claims (1)

  1. (1) 200539345 十、申請專利範圍 1 · 一種在一處理室內蝕刻在一基板上面具有至少一 矽化鍺層的堆疊之方法,包括提供對矽化鍺之蝕刻,其包 括: 將一蝕刻劑氣體提供到該處理室內,其中該蝕刻劑氣 體包括Η Β Γ,一惰性稀釋劑,和在〇 2與N 2中的至少一 者; # 將該基板冷卻到低於4(TC之溫度;及 將該蝕刻劑氣體轉換成電漿以蝕刻該矽化鍺層。 2 ·如申請專利範圍第1項之方法,其中該堆疊進一 步包括在該矽化鍺層上面的多晶矽層,其中該多晶矽層有 至少一區係經摻雜,該方法進一步包括提供對該多晶矽層 的貫穿蝕刻,包括: 提供一蝕刻劑氣體於該處理室內,其中該蝕刻劑氣體 包括N2,SF6,及在CHF3和CH2F2中的至少一者;及 # 將該蝕刻劑氣體轉換爲電漿以蝕刻該多晶矽層。 3.如申請專利範圍第2項之方法,其進一步提供對 多晶矽之主蝕刻,包括 提供含有在Cl2,HBr,CF4,和02之中至少一者的 倉虫刻劑氣體;及 將該蝕刻劑氣體轉換爲電漿以蝕刻該多晶矽層。 4 ·如申請專利範圍第3項之方法,其中蝕刻該矽化 鍺層和該多晶矽層提供一垂直剖面。 5 .如申請專利範圍第4項之方法,其中該堆疊進一步 -25- (2) 200539345 …藏,宜中該s iG e蝕刻 包括一在該矽化鍺層下面的晶種矽層 … 係鈾刻穿過該晶種矽層。 :土,:§:中該晶種砂層與 6 .如申請專利範圍第5項之方法 〃 Ψ 該矽化鍺層的合倂厚度係在1 〇與5 0奈# 2 ^ ° >七·冰,宜進一步包括在 7.如申請專利範圍第6項之力/去 〆、 該堆疊上提供一光阻劑罩。 8 ·如申請專利範圍第7項之方法’其中該光阻劑罩 φ 爲193或更高代的光阻劑。 9 .如申請專利範圍第4項之方法’其中該多晶5夕層 具有至少一摻雜區。 1 0 ·如申請專利範圍第5項之方法’其中强晶種砂層 與矽化鍺層的合倂厚度係小於該多晶@層胃^ @ ~半1 ° Π .如申請專利範圍第1項之方法’其中該堆疊進一 步包括在該矽化鍺層下面的晶種矽層’ #巾_ Sl(3e Μ % 係蝕刻穿過該晶種矽層。 φ 1 2 ·如申請專利範圍第丨]項之方法’其中該晶種砂 層與矽化鍺層的合倂厚度係介於1 0與5 0奈米之間b 1 3 ·如申請專利範圍第1項之方法’其進一步包括於 該堆疊上提供一光阻劑罩。 1 4 ·如申請專利範圍第1 3項之方法,其中該光阻劑 罩爲1 9 3或更高代之光阻劑。 1 5 · —種申請專利範圍第1項之方法形成之半導體裝 置。 1 6. —種蝕刻在一基板上的多晶矽層之方法,其中該 - 26- (3) (3)200539345 多晶砂層具有至少一摻雜區,該方法包括: 將該基板放置在一處理室內; 提供一蝕刻劑氣體到該處理室內,其中該蝕刻劑氣體 包括N2’ SF6’及在CHF3和CH2F2中的至少一者;及 將該蝕刻劑氣體轉換爲電漿以蝕刻該多晶矽層。 17.如申請專利範圍第1 6項之方法,其進一步包括 提供對多晶矽之主蝕刻,包括: . 提供含Cl2,HBr,CF4,和02中至少一者的蝕刻劑 氣體;及 將該含蝕刻劑氣體轉換成電漿以蝕刻該多晶矽層。 1 8 .如申請專利範圍第.1 7項之方法,其進一步包括 在該堆疊上提供一光阻劑罩。 1 9 ·如申請專利範圍第1 8項之方法,其中該光阻劑 罩爲1 93或更高代的光阻劑。 2 0 ·如申請專利範圍第1 6項之方法’其中該多晶矽 層具有至少一未摻雜區。 2 1 · —種用申請專利範圍第1 6項之方法形成的半導體 裝置。 2 2 . —種用以蝕刻在基板上有至少一矽化鍺層的堆疊 之裝置,包括: 一處理室; 一氣體源; 一供應源; 一溫度控制裝置,用以控制該基板的溫度; -27- (4) 200539345 電腦可讀密碼,用以將鈾刻劑氣體從該氣體源提供到 該處理室內,其中該鈾刻劑氣體包括HBr,惰性稀釋劑, 及在〇2和N2之中的至少一者; 電腦可讀密碼,用以將該基板冷卻到低於40 °C的溫 度;及 電腦可讀密碼,用以使用該供能源將該蝕刻劑氣體轉 換成電漿以蝕刻該矽化鍺層。 -28-
TW094107721A 2004-03-17 2005-03-14 用以對在一基板上具有至少一矽化鍺層的堆疊及對在一基板上之多晶矽層蝕刻之方法及裝置 TWI456650B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/803,342 US7682985B2 (en) 2004-03-17 2004-03-17 Dual doped polysilicon and silicon germanium etch

Publications (2)

Publication Number Publication Date
TW200539345A true TW200539345A (en) 2005-12-01
TWI456650B TWI456650B (zh) 2014-10-11

Family

ID=34963139

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094107721A TWI456650B (zh) 2004-03-17 2005-03-14 用以對在一基板上具有至少一矽化鍺層的堆疊及對在一基板上之多晶矽層蝕刻之方法及裝置

Country Status (6)

Country Link
US (1) US7682985B2 (zh)
JP (1) JP4777337B2 (zh)
KR (1) KR101191699B1 (zh)
CN (1) CN100530566C (zh)
TW (1) TWI456650B (zh)
WO (1) WO2005091338A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI569310B (zh) * 2010-09-21 2017-02-01 應用材料股份有限公司 用以於基材上形成層之方法

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7985688B2 (en) * 2005-12-16 2011-07-26 Lam Research Corporation Notch stop pulsing process for plasma processing system
US7351664B2 (en) 2006-05-30 2008-04-01 Lam Research Corporation Methods for minimizing mask undercuts and notches for plasma processing system
US7851369B2 (en) * 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
JP5119696B2 (ja) * 2007-03-20 2013-01-16 富士通セミコンダクター株式会社 半導体装置の製造方法
US8709951B2 (en) * 2007-07-19 2014-04-29 Texas Instruments Incorporated Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US8865553B2 (en) * 2009-09-30 2014-10-21 X-Fab Semiconductor Foundries Ag Semiconductor component with a window opening as an interface for ambient coupling
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5719648B2 (ja) * 2011-03-14 2015-05-20 東京エレクトロン株式会社 エッチング方法、およびエッチング装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8906248B2 (en) 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103779278A (zh) * 2012-10-22 2014-05-07 中芯国际集成电路制造(上海)有限公司 Cmos管的形成方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9305797B2 (en) * 2013-01-17 2016-04-05 Applied Materials, Inc. Polysilicon over-etch using hydrogen diluted plasma for three-dimensional gate etch
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN104658896B (zh) * 2013-11-19 2017-12-29 中芯国际集成电路制造(上海)有限公司 蚀刻方法、半导体器件
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10985078B2 (en) * 2015-11-06 2021-04-20 Lam Research Corporation Sensor and adjuster for a consumable
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
KR20210024658A (ko) * 2018-07-20 2021-03-05 램 리써치 코포레이션 나노와이어들을 위한 선택적인 에칭
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210056778A (ko) 2019-11-11 2021-05-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
JPS6313334A (ja) 1986-07-04 1988-01-20 Hitachi Ltd ドライエツチング方法
US4992134A (en) * 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5269879A (en) 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5658425A (en) 1991-10-16 1997-08-19 Lam Research Corporation Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer
US6004884A (en) 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5908320A (en) 1996-06-26 1999-06-01 Lam Research Corporation High selectivity BPSG:TiSi2 contact etch process
US5846443A (en) 1996-07-09 1998-12-08 Lam Research Corporation Methods and apparatus for etching semiconductor wafers and layers thereof
WO1998013880A1 (en) 1996-09-25 1998-04-02 Advanced Micro Devices, Inc. POLY-Si/POLY-SiGe GATE FOR CMOS DEVICES
FR2765394B1 (fr) * 1997-06-25 1999-09-24 France Telecom Procede d'obtention d'un transistor a grille en silicium-germanium
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6291356B1 (en) 1997-12-08 2001-09-18 Applied Materials, Inc. Method for etching silicon oxynitride and dielectric antireflection coatings
US6013582A (en) 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6632718B1 (en) 1998-07-15 2003-10-14 Texas Instruments Incorporated Disposable spacer technology for reduced cost CMOS processing
US6232159B1 (en) 1998-07-22 2001-05-15 Matsushita Electric Industrial Co., Ltd. Method for fabricating compound semiconductor device
US6613682B1 (en) 1999-10-21 2003-09-02 Applied Materials Inc. Method for in situ removal of a dielectric antireflective coating during a gate etch process
FR2805923B1 (fr) * 2000-03-06 2002-05-24 St Microelectronics Sa Procede de fabrication d'un transistor bipolaire double- polysilicium auto-aligne
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6576507B1 (en) 2000-11-14 2003-06-10 International Business Machines Corporation Selectively removable filler layer for BiCMOS process
US6559001B2 (en) 2001-05-30 2003-05-06 International Business Machines Corporation Methods of patterning a multi-layer film stack and forming a lower electrode of a capacitor
US6653237B2 (en) 2001-06-27 2003-11-25 Applied Materials, Inc. High resist-selectivity etch for silicon trench etch applications
EP1466465B1 (en) * 2001-12-20 2018-01-24 Tekelec Global, Inc. Database driven methods and systems for real time call tracing
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6703269B2 (en) 2002-04-02 2004-03-09 International Business Machines Corporation Method to form gate conductor structures of dual doped polysilicon
KR100446302B1 (ko) 2002-06-05 2004-08-30 삼성전자주식회사 음의 기울기를 가지는 게이트를 포함하는 반도체 소자 및그 제조방법
US20040018739A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US20040067631A1 (en) * 2002-10-03 2004-04-08 Haowen Bu Reduction of seed layer roughness for use in forming SiGe gate electrode
US20040152331A1 (en) * 2003-01-31 2004-08-05 Applied Materials, Inc. Process for etching polysilicon gates with good mask selectivity, critical dimension control, and cleanliness
US7060624B2 (en) * 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
US6828187B1 (en) * 2004-01-06 2004-12-07 International Business Machines Corporation Method for uniform reactive ion etching of dual pre-doped polysilicon regions
US7109085B2 (en) * 2005-01-11 2006-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Etching process to avoid polysilicon notching
US7319074B2 (en) * 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI569310B (zh) * 2010-09-21 2017-02-01 應用材料股份有限公司 用以於基材上形成層之方法

Also Published As

Publication number Publication date
WO2005091338A3 (en) 2005-12-08
CN1954416A (zh) 2007-04-25
WO2005091338A2 (en) 2005-09-29
JP2007529904A (ja) 2007-10-25
TWI456650B (zh) 2014-10-11
JP4777337B2 (ja) 2011-09-21
KR20060131997A (ko) 2006-12-20
US20050205862A1 (en) 2005-09-22
KR101191699B1 (ko) 2012-10-16
US7682985B2 (en) 2010-03-23
CN100530566C (zh) 2009-08-19

Similar Documents

Publication Publication Date Title
TW200539345A (en) Dual doped polysilicon and silicon germanium etch
TWI352387B (en) Etch methods to form anisotropic features for high
JP7032139B2 (ja) 磁気トンネル接合をパターニングするためのハードマスク
TWI524423B (zh) 蝕刻及灰化期間低k材料之側壁保護
TWI357094B (en) Reduction of feature critical dimensions
TWI323487B (en) Plasma etching method
TWI424490B (zh) 垂直輪廓修正
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US7682479B2 (en) Fin structure formation
TW200814142A (en) Residue free hardmask trim
TW201017749A (en) Substrate processing method
JPH0670989B2 (ja) 臭化水素によるシリコンの反応性イオンエッチング
TW201142941A (en) Method of controlling trench microloading using plasma pulsing
TW200908144A (en) Methods for high temperature etching a high-k material gate structure
TWI514467B (zh) 形成間隔物側壁上之含SiOCl的層以預防間隔物蝕刻時之臨界尺寸損失
JPH07161701A (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
TWI239563B (en) A selective etch process for making a semiconductor device having a high-k gate dielectric
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
KR20040098598A (ko) 비결정 탄소 마스크를 사용하는 알루미늄층의 에칭방법
TW200947154A (en) Method of forming thin film pattern for semiconductor device and apparatus for the same
KR20130127959A (ko) 저종횡비 패턴을 위한 측벽 이미지 전사 방법
TW200406837A (en) Methods for etching using building blocks
TW200537580A (en) Line edge roughness control
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
JP6243722B2 (ja) エッチング処理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees