JP4777337B2 - エッチング方法及び装置 - Google Patents
エッチング方法及び装置 Download PDFInfo
- Publication number
- JP4777337B2 JP4777337B2 JP2007503962A JP2007503962A JP4777337B2 JP 4777337 B2 JP4777337 B2 JP 4777337B2 JP 2007503962 A JP2007503962 A JP 2007503962A JP 2007503962 A JP2007503962 A JP 2007503962A JP 4777337 B2 JP4777337 B2 JP 4777337B2
- Authority
- JP
- Japan
- Prior art keywords
- etch
- layer
- providing
- etching
- silicon germanium
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000005530 etching Methods 0.000 title claims description 87
- 238000000034 method Methods 0.000 title claims description 57
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 67
- 229920005591 polysilicon Polymers 0.000 claims description 67
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 66
- 238000012545 processing Methods 0.000 claims description 46
- 239000000758 substrate Substances 0.000 claims description 37
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 27
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 27
- 229910052710 silicon Inorganic materials 0.000 claims description 27
- 239000010703 silicon Substances 0.000 claims description 27
- 229920002120 photoresistant polymer Polymers 0.000 claims description 17
- 230000005284 excitation Effects 0.000 claims description 6
- 239000003701 inert diluent Substances 0.000 claims description 6
- 238000001816 cooling Methods 0.000 claims description 5
- 239000007789 gas Substances 0.000 description 40
- 230000008569 process Effects 0.000 description 30
- 230000009977 dual effect Effects 0.000 description 14
- 230000015572 biosynthetic process Effects 0.000 description 8
- 239000006117 anti-reflective coating Substances 0.000 description 7
- 229910052734 helium Inorganic materials 0.000 description 7
- 239000001307 helium Substances 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 238000009966 trimming Methods 0.000 description 6
- 238000010586 diagram Methods 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 239000002826 coolant Substances 0.000 description 4
- 238000002161 passivation Methods 0.000 description 4
- 241000699666 Mus <mouse, genus> Species 0.000 description 3
- 238000002474 experimental method Methods 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 229910052757 nitrogen Inorganic materials 0.000 description 2
- 230000003287 optical effect Effects 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 241000699670 Mus sp. Species 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 238000013500 data storage Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 229910000042 hydrogen bromide Inorganic materials 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 238000012776 robust process Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Drying Of Semiconductors (AREA)
- Electrodes Of Semiconductors (AREA)
Description
実施例1
その他の実施例
308…基板
312…シリコンシード層
320…デュアルドープポリシリコン層
322…非ドープ領域
324…非ドープポリシリコン層
328…ドープ領域
336…フォトレジストマスク
500…処理チャンバ
500…プラズマ処理チャンバ
504…誘導コイル
508…下部電極
510…ガスソース
520…排出ポンプ
528…チャンバ上部
528…リアクタ上部
535…コントローラ
540…プラズマ容積
543…ガス入口
552…チャンバ壁
552…冷却器
556…流体送給デバイス
560…ヒータ
604…ゲート酸化層
712…シリコンシード層
722…ポリシリコン層
780…基板
800…コンピュータシステム
802…モニタ
804…ディスプレイ
806…筐体
808…ディスクドライブ
810…キーボード
812…マウス
814…ディスク
814…リムーバブルディスク
820…システムバス
822…CPU
824…メモリ
826…固定ディスク
830…スピーカ
840…ネットワークインタフェース
Claims (11)
- 処理チャンバ内で、基板上に少なくとも一つのシリコンゲルマニウム層と前記シリコンゲルマニウム層上のポリシリコン層とを有するスタックをエッチングする方法であって、
前記ポリシリコン層は、少なくとも一つのドープ領域と少なくとも一つの非ドープ領域とを含み、
前記方法は、
前記シリコンゲルマニウム層上のポリシリコン層にブレークスルーエッチングを提供して、前記少なくとも一つのドープ領域と前記少なくとも一つの非ドープ領域とを同時にエッチングする工程と、
前記ブレークスルーエッチングの後にポリシリコンメインエッチングを提供する工程と、
前記ポリシリコンメインエッチングの後にシリコンゲルマニウムエッチングを提供する工程と、
を備え、
前記ブレークスルーエッチングを提供する工程は、
CHF 3 とCH 2 F 2 の少なくとも一方と、N 2 と、SF 6 と、を含む前記エッチャントガスを前記処理チャンバ内に提供する工程と、
前記ポリシリコン層の前記少なくとも一つのドープ領域と前記少なくとも一つの非ドープ領域とを同時にエッチングするために、前記エッチャントガスをプラズマに転換する工程と、
を含み、
前記ポリシリコンメインエッチングを提供する工程は、
Cl 2 、HBr、O 2 、及びCF 4 の少なくとも一つを含むエッチャントガスを提供する工程と、
前記シリコンゲルマニウム層に至るまで前記ポリシリコン層をエッチングするために、前記エッチャントガスをプラズマに転換する工程と、
を含み、
前記シリコンゲルマニウムエッチングを提供する工程は、
O2とN2の少なくとも一方と、HBrと、不活性希釈剤と、を含むエッチャントガスを前記処理チャンバ内に提供する工程と、
40℃未満の温度に前記基板を冷却する工程と、
前記シリコンゲルマニウム層をエッチングするために、前記エッチャントガスをプラズマに転換する工程と、
を含む、エッチング方法。 - 請求項1記載のエッチング方法であって、
前記シリコンゲルマニウム層及び前記ポリシリコン層を前記エッチングする工程は、垂直なプロファイルを提供するエッチング方法。 - 請求項1又は2に記載のエッチング方法であって、
前記スタックは、さらに、前記シリコンゲルマニウム層下にシードシリコン層を備え、
前記シリコンゲルマニウムエッチングは、前記シードシリコン層をエッチングするエッチング方法。 - 請求項3記載のエッチング方法であって、
前記シードシリコン層及びシリコンゲルマニウム層の合計厚さは、10乃至50ナノメートルであるエッチング方法。 - 請求項1ないし4のいずれかに記載のエッチング方法であって、さらに、
前記スタック上にフォトレジストマスクを提供する工程を備えるエッチング方法。 - 請求項5記載のエッチング方法であって、
前記フォトレジストマスクは、193nm世代以降の世代のフォトレジストであるエッチング方法。 - 請求項3記載のエッチング方法であって、
前記シードシリコン層及びシリコンゲルマニウム層の合計厚さは、前記ポリシリコン層の厚さの半分未満であるエッチング方法。 - 少なくとも一つのドープ領域と少なくとも一つの非ドープ領域とを有する、基板上のポリシリコン層をエッチングする方法であって、
処理チャンバ内に前記基板を配置する工程と、
CHF3とCH2F2の少なくとも一方と、N2と、SF6と、を含むエッチャントガスを前記処理チャンバ内に提供する工程と、
前記ポリシリコン層の前記少なくとも一つのドープ領域と前記少なくとも一つの非ドープ領域とを同時にエッチングするために、前記エッチャントガスをプラズマに転換する工程と、
ポリシリコンメインエッチングを提供する工程と、
を備え、
前記ポリシリコンメインエッチングを提供する工程は、
Cl 2 、HBr、O 2 、及びCF 4 の少なくとも一つを含むエッチャントガスを提供する工程と、
前記ポリシリコン層をエッチングするために、前記エッチャントガスをプラズマに転換する工程と、
を含む、エッチング方法。 - 請求項8記載のエッチング方法であって、さらに、
前記スタック上にフォトレジストマスクを提供する工程を備えるエッチング方法。 - 請求項9記載のエッチング方法であって、
前記フォトレジストマスクは、193nm世代以降の世代のフォトレジストであるエッチング方法。 - 基板上に少なくとも一つのシリコンゲルマニウム層と前記シリコンゲルマニウム層上のポリシリコン層とを有するスタックをエッチングする装置であって、
処理チャンバと、
ガスソースと、
励起ソースと、
前記基板の温度を制御するための温度制御デバイスと、
コントローラと、を備え、
前記ポリシリコン層は、少なくとも一つのドープ領域と少なくとも一つの非ドープ領域とを含み、
前記コントローラは、
前記シリコンゲルマニウム層上のポリシリコン層にブレークスルーエッチングを提供して、前記少なくとも一つのドープ領域と前記少なくとも一つの非ドープ領域とを同時にエッチングするコンピュータ読み取り可能なコードと、
前記ブレークスルーエッチングの後にポリシリコンメインエッチングを提供するコンピュータ読み取り可能なコードと、
前記ポリシリコンメインエッチングの後にシリコンゲルマニウムエッチングを提供するコンピュータ読み取り可能なコードと、
を格納するコンピュータ読み取り可能な媒体を備え、
前記ブレークスルーエッチングを提供するためのコンピュータ読み取り可能なコードは、
CHF 3 とCH 2 F 2 の少なくとも一方と、N 2 と、SF 6 と、を含む前記エッチャントガスを前記ガスソースから前記処理チャンバ内に提供するコンピュータ読み取り可能なコードと、
前記ポリシリコン層の前記少なくとも一つのドープ領域と前記少なくとも一つの非ドープ領域とを同時にエッチングするために、前記励起ソースを用いて前記エッチャントガスをプラズマに転換するコンピュータ読み取り可能なコードと、
を含み、
前記ポリシリコンメインエッチングを提供するコンピュータ読み取り可能なコードは、
Cl 2 、HBr、O 2 、及びCF 4 の少なくとも一つを含むエッチャントガスを前記ガスソースから前記処理チャンバ内に提供するコンピュータ読み取り可能なコードと、
前記シリコンゲルマニウム層に至るまで前記ポリシリコン層をエッチングするために、前記励起ソースを用いて前記エッチャントガスをプラズマに転換するコンピュータ読み取り可能なコードと、
を含み、
前記シリコンゲルマニウムエッチングを提供するコンピュータ読み取り可能なコードは、
O2とN2の少なくとも一方と、HBrと、不活性希釈剤と、を含むエッチャントガスを、前記ガスソースから前記処理チャンバへ提供するためのコンピュータ読み取り可能なコードと、
前記基板を40℃未満の温度に冷却するためのコンピュータ読み取り可能なコードと、
前記励起ソースを使用して、前記エッチャントガスをプラズマに転換し、前記シリコンゲルマニウム層をエッチングするためのコンピュータ読み取り可能なコードと、
を含む、エッチング装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/803,342 US7682985B2 (en) | 2004-03-17 | 2004-03-17 | Dual doped polysilicon and silicon germanium etch |
US10/803,342 | 2004-03-17 | ||
PCT/US2005/007750 WO2005091338A2 (en) | 2004-03-17 | 2005-03-08 | Dual doped polysilicon and silicon germanium etch |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2007529904A JP2007529904A (ja) | 2007-10-25 |
JP2007529904A5 JP2007529904A5 (ja) | 2008-04-24 |
JP4777337B2 true JP4777337B2 (ja) | 2011-09-21 |
Family
ID=34963139
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007503962A Expired - Fee Related JP4777337B2 (ja) | 2004-03-17 | 2005-03-08 | エッチング方法及び装置 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7682985B2 (ja) |
JP (1) | JP4777337B2 (ja) |
KR (1) | KR101191699B1 (ja) |
CN (1) | CN100530566C (ja) |
TW (1) | TWI456650B (ja) |
WO (1) | WO2005091338A2 (ja) |
Families Citing this family (144)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7985688B2 (en) | 2005-12-16 | 2011-07-26 | Lam Research Corporation | Notch stop pulsing process for plasma processing system |
US7351664B2 (en) | 2006-05-30 | 2008-04-01 | Lam Research Corporation | Methods for minimizing mask undercuts and notches for plasma processing system |
US7851369B2 (en) * | 2006-06-05 | 2010-12-14 | Lam Research Corporation | Hardmask trim method |
JP5119696B2 (ja) * | 2007-03-20 | 2013-01-16 | 富士通セミコンダクター株式会社 | 半導体装置の製造方法 |
US8709951B2 (en) * | 2007-07-19 | 2014-04-29 | Texas Instruments Incorporated | Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters |
US9059116B2 (en) | 2007-11-29 | 2015-06-16 | Lam Research Corporation | Etch with pulsed bias |
WO2011039568A1 (de) * | 2009-09-30 | 2011-04-07 | X-Fab Semiconductor Foundries Ag | Halbleiterbauelement mit fensteroeffnung als schnittstelle zur umgebungs-ankopplung |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US8993434B2 (en) * | 2010-09-21 | 2015-03-31 | Applied Materials, Inc. | Methods for forming layers on a substrate |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
JP5719648B2 (ja) * | 2011-03-14 | 2015-05-20 | 東京エレクトロン株式会社 | エッチング方法、およびエッチング装置 |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US8906248B2 (en) | 2011-12-13 | 2014-12-09 | Lam Research Corporation | Silicon on insulator etch |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
CN103779278A (zh) * | 2012-10-22 | 2014-05-07 | 中芯国际集成电路制造(上海)有限公司 | Cmos管的形成方法 |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US9305797B2 (en) * | 2013-01-17 | 2016-04-05 | Applied Materials, Inc. | Polysilicon over-etch using hydrogen diluted plasma for three-dimensional gate etch |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US9236265B2 (en) * | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
CN104658896B (zh) * | 2013-11-19 | 2017-12-29 | 中芯国际集成电路制造(上海)有限公司 | 蚀刻方法、半导体器件 |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US20150371889A1 (en) * | 2014-06-20 | 2015-12-24 | Applied Materials, Inc. | Methods for shallow trench isolation formation in a silicon germanium layer |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9478434B2 (en) | 2014-09-24 | 2016-10-25 | Applied Materials, Inc. | Chlorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10985078B2 (en) * | 2015-11-06 | 2021-04-20 | Lam Research Corporation | Sensor and adjuster for a consumable |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
KR20210024658A (ko) * | 2018-07-20 | 2021-03-05 | 램 리써치 코포레이션 | 나노와이어들을 위한 선택적인 에칭 |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20210056778A (ko) | 2019-11-11 | 2021-05-20 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1187708A (ja) * | 1997-06-25 | 1999-03-30 | Fr Telecom | シリコン−ゲルマニウムゲートを持つトランジスタを得るための方法 |
JP2001284283A (ja) * | 2000-03-30 | 2001-10-12 | Hitachi Ltd | 半導体集積回路装置の製造方法および半導体集積回路装置 |
Family Cites Families (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CA1260365A (en) | 1985-05-06 | 1989-09-26 | Lee Chen | Anisotropic silicon etching in fluorinated plasma |
JPS6313334A (ja) | 1986-07-04 | 1988-01-20 | Hitachi Ltd | ドライエツチング方法 |
US4992134A (en) * | 1989-11-14 | 1991-02-12 | Advanced Micro Devices, Inc. | Dopant-independent polysilicon plasma etch |
US5269879A (en) | 1991-10-16 | 1993-12-14 | Lam Research Corporation | Method of etching vias without sputtering of underlying electrically conductive layer |
US5658425A (en) | 1991-10-16 | 1997-08-19 | Lam Research Corporation | Method of etching contact openings with reduced removal rate of underlying electrically conductive titanium silicide layer |
US6004884A (en) | 1996-02-15 | 1999-12-21 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers |
US5908320A (en) | 1996-06-26 | 1999-06-01 | Lam Research Corporation | High selectivity BPSG:TiSi2 contact etch process |
US5846443A (en) | 1996-07-09 | 1998-12-08 | Lam Research Corporation | Methods and apparatus for etching semiconductor wafers and layers thereof |
WO1998013880A1 (en) | 1996-09-25 | 1998-04-02 | Advanced Micro Devices, Inc. | POLY-Si/POLY-SiGe GATE FOR CMOS DEVICES |
US6322714B1 (en) | 1997-11-12 | 2001-11-27 | Applied Materials Inc. | Process for etching silicon-containing material on substrates |
US6013582A (en) | 1997-12-08 | 2000-01-11 | Applied Materials, Inc. | Method for etching silicon oxynitride and inorganic antireflection coatings |
US6291356B1 (en) | 1997-12-08 | 2001-09-18 | Applied Materials, Inc. | Method for etching silicon oxynitride and dielectric antireflection coatings |
US6632718B1 (en) | 1998-07-15 | 2003-10-14 | Texas Instruments Incorporated | Disposable spacer technology for reduced cost CMOS processing |
US6232159B1 (en) | 1998-07-22 | 2001-05-15 | Matsushita Electric Industrial Co., Ltd. | Method for fabricating compound semiconductor device |
US6613682B1 (en) | 1999-10-21 | 2003-09-02 | Applied Materials Inc. | Method for in situ removal of a dielectric antireflective coating during a gate etch process |
FR2805923B1 (fr) * | 2000-03-06 | 2002-05-24 | St Microelectronics Sa | Procede de fabrication d'un transistor bipolaire double- polysilicium auto-aligne |
US6576507B1 (en) | 2000-11-14 | 2003-06-10 | International Business Machines Corporation | Selectively removable filler layer for BiCMOS process |
US6559001B2 (en) | 2001-05-30 | 2003-05-06 | International Business Machines Corporation | Methods of patterning a multi-layer film stack and forming a lower electrode of a capacitor |
US6653237B2 (en) | 2001-06-27 | 2003-11-25 | Applied Materials, Inc. | High resist-selectivity etch for silicon trench etch applications |
EP1466465B1 (en) * | 2001-12-20 | 2018-01-24 | Tekelec Global, Inc. | Database driven methods and systems for real time call tracing |
US6451647B1 (en) * | 2002-03-18 | 2002-09-17 | Advanced Micro Devices, Inc. | Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual |
US6703269B2 (en) | 2002-04-02 | 2004-03-09 | International Business Machines Corporation | Method to form gate conductor structures of dual doped polysilicon |
KR100446302B1 (ko) | 2002-06-05 | 2004-08-30 | 삼성전자주식회사 | 음의 기울기를 가지는 게이트를 포함하는 반도체 소자 및그 제조방법 |
US20040018739A1 (en) | 2002-07-26 | 2004-01-29 | Applied Materials, Inc. | Methods for etching using building blocks |
US20040067631A1 (en) * | 2002-10-03 | 2004-04-08 | Haowen Bu | Reduction of seed layer roughness for use in forming SiGe gate electrode |
US20040152331A1 (en) * | 2003-01-31 | 2004-08-05 | Applied Materials, Inc. | Process for etching polysilicon gates with good mask selectivity, critical dimension control, and cleanliness |
US7060624B2 (en) * | 2003-08-13 | 2006-06-13 | International Business Machines Corporation | Deep filled vias |
US6828187B1 (en) * | 2004-01-06 | 2004-12-07 | International Business Machines Corporation | Method for uniform reactive ion etching of dual pre-doped polysilicon regions |
US7109085B2 (en) * | 2005-01-11 | 2006-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Etching process to avoid polysilicon notching |
US7319074B2 (en) * | 2005-06-13 | 2008-01-15 | United Microelectronics Corp. | Method of defining polysilicon patterns |
-
2004
- 2004-03-17 US US10/803,342 patent/US7682985B2/en not_active Expired - Fee Related
-
2005
- 2005-03-08 KR KR1020067021535A patent/KR101191699B1/ko not_active IP Right Cessation
- 2005-03-08 JP JP2007503962A patent/JP4777337B2/ja not_active Expired - Fee Related
- 2005-03-08 WO PCT/US2005/007750 patent/WO2005091338A2/en active Application Filing
- 2005-03-08 CN CNB2005800156057A patent/CN100530566C/zh not_active Expired - Fee Related
- 2005-03-14 TW TW094107721A patent/TWI456650B/zh not_active IP Right Cessation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1187708A (ja) * | 1997-06-25 | 1999-03-30 | Fr Telecom | シリコン−ゲルマニウムゲートを持つトランジスタを得るための方法 |
JP2001284283A (ja) * | 2000-03-30 | 2001-10-12 | Hitachi Ltd | 半導体集積回路装置の製造方法および半導体集積回路装置 |
Also Published As
Publication number | Publication date |
---|---|
WO2005091338A2 (en) | 2005-09-29 |
JP2007529904A (ja) | 2007-10-25 |
CN1954416A (zh) | 2007-04-25 |
US20050205862A1 (en) | 2005-09-22 |
CN100530566C (zh) | 2009-08-19 |
WO2005091338A3 (en) | 2005-12-08 |
TWI456650B (zh) | 2014-10-11 |
US7682985B2 (en) | 2010-03-23 |
KR20060131997A (ko) | 2006-12-20 |
KR101191699B1 (ko) | 2012-10-16 |
TW200539345A (en) | 2005-12-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4777337B2 (ja) | エッチング方法及び装置 | |
TWI493619B (zh) | 雙層、三層遮罩臨界尺寸控制 | |
US8124540B2 (en) | Hardmask trim method | |
US20070056925A1 (en) | Selective etch of films with high dielectric constant with H2 addition | |
US7491647B2 (en) | Etch with striation control | |
JP5081917B2 (ja) | フッ素除去プロセス | |
US7682479B2 (en) | Fin structure formation | |
US20070075038A1 (en) | Vertical profile fixing | |
TW200952064A (en) | Plasma etching method and computer-readable storage medium | |
SG183602A1 (en) | Wiggling control for pseudo-hardmask | |
US8470715B2 (en) | CD bias loading control with ARC layer open | |
US7682979B2 (en) | Phase change alloy etch | |
KR20140021610A (ko) | 풀 메탈 게이트 구조를 패터닝하는 방법 | |
US8124538B2 (en) | Selective etch of high-k dielectric material | |
JP2007528610A (ja) | ラインエッジラフネス制御 | |
JP7534046B2 (ja) | エッチング方法及びプラズマ処理装置 | |
US8668805B2 (en) | Line end shortening reduction during etch | |
WO2005071722A1 (en) | Selective etch of films with high dielectric constant | |
JPH11204533A (ja) | 半導体素子の多層膜の乾式エッチング方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080305 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080305 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20110127 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110208 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110420 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20110621 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20110629 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140708 Year of fee payment: 3 |
|
LAPS | Cancellation because of no payment of annual fees |