TW200525616A - Film formation method and apparatus for semiconductor process - Google Patents

Film formation method and apparatus for semiconductor process Download PDF

Info

Publication number
TW200525616A
TW200525616A TW093130157A TW93130157A TW200525616A TW 200525616 A TW200525616 A TW 200525616A TW 093130157 A TW093130157 A TW 093130157A TW 93130157 A TW93130157 A TW 93130157A TW 200525616 A TW200525616 A TW 200525616A
Authority
TW
Taiwan
Prior art keywords
gas
film
processing container
raw material
forming
Prior art date
Application number
TW093130157A
Other languages
English (en)
Other versions
TWI366867B (zh
Inventor
Takahito Umehara
Masahiko Tomita
Hirotake Fujita
Kazuhide Hasebe
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200525616A publication Critical patent/TW200525616A/zh
Application granted granted Critical
Publication of TWI366867B publication Critical patent/TWI366867B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

200525616 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用以於半導體晶圓 守瓶日日圆等被處理體之表面 形成薄膜的成膜方法以及成膜裝置。 【先前技術】 -般,於半導體積體電路之製造步驟中,為了於作為被 處理體之半導體晶圓表面形成佈線圖案或嵌人佈線間等之 凹部或接觸用凹部’實行有堆積w(鶴)、wsi(料化物)、 Ti(鈦)、TiN(氮化鈦)、TiSi(鈦石夕化物)、Cu(鋼)、Ta㈣氧 化鈕)等金屬或金屬化合物,而形成薄膜之情形。 並且,根據近年來高集成化以及高微細化之要求,各半 導體7L件之尺寸設計規則亦更嚴格,不斷縮小化。於此種 狀況下,關於半導體元件之-的電容器亦要求更小型化, 因於該電容器構造中即使以同—專有面積亦可確保較大之 電容器容量,故亦提出有將元件構造 形:又’為使電容器容量更大,實行有使心BST(鋇= 鈦氧化物)為代表之氧化物介電體之薄膜作為電容器絕緣 膜的情形。 該情形下,為實現低電阻化,討論有使用尺11膜(釕膜)或 Ru〇2膜(釕氧化膜)等作為電容器電極之情形。 [專利文獻1]曰本專利特開平11_354751號公報 [專利文獻2]曰本專利特開2〇〇 1-345285號公報 [專利文獻3]曰本專利特開2003-68676號公報 [發明所欲解決之問題] 96089.doc 200525616 此處,參照圖7就Rii膜之先前成膜方法加以說明。 上述Ru膜’因無法將其直接藉由cvD(Chemical Vapor Deposition,化學氣相沈積)法成膜,故首先如圖7(A)所示, 於使用有電漿之濺射裝置内實施濺射處理,藉此於半導體 晶圓W之表面形成非常薄之包含1111膜的種膜2。 繼而,將形成有上述種膜2之半導體晶圓w搬送至^^^^成 膜裝置,如圖7(B)所示,實施成膜率較大之CVD處理,藉 此於包含凹部6内之内壁面的晶圓w全面形成包含Ru膜之 本膜4。於該CVD處理中,因存在底層之種膜2,故該種膜2 成為核而於其上可容易地堆積以膜,即使為較高之^邛 coverage( 差被覆性)亦可於凹部6内之内壁面亦實行成 膜。於該CVD處理中’例如使用雙環戊二烯基訂(亦稱為 Ru(C5H5)2或Ru(Cp)2)與氧氣作為原料氣體。 d而於上述成膜方法中需要濺射袭置與CVD裝置之兩 台裝置,故存在設備成本高漲之問題。 又,若使用賤射裝置,則於該裝置中階差被覆性會劣化, 故膜無法貼付於凹部6之内壁全面,特別是於凹部6内之側 下P的例如以A部所不之部分,亦會部分性地產生種膜2 未充分堆積之情形,亦存在於其後之CVD法之成膜時產生 不良衫響的情形。具體的是,例如因A部中之種膜2非常薄, 3積分之藉由CVD之本膜4亦非常薄,其結果為, 子以该A部之部分為起點氧化基礎膜的情形。 干I:此I :例如如曰本專利特開2。。3,3233號公報所揭 ,又以有於形成鎢膜時,交互供給原料氣體與氧化氣 96089.doc 200525616 體’並於其間介以直空 m , rr Λ ^ 虱殘邊氣體之淨化步驟,形成種 w /下,因原料氣體對於半導體晶圓 I衣面的表面吸附力差 4或因無法完全形成種膜,故而 ”,、法採用該成膜方法。 本毛明係者眼於以上之問 去^, 之問碭點,為有效解決其開發而成 f。本發明之目的在於提供_ 原料氣種即使為表面吸附力較弱之 :體亦可無需使用濺射裝置即可堆積薄 法及成膜裝置。 【發明内容】 」求項1之發明係—種成膜方法,其係於可抽真空之處理 合益内供給原料氣體與支援氣體,而於被處理體之表面堆 =薄膜者’其特徵在H將上述原料氣體與上述支援氣 、豆以互異之時序交互且連續地相互供給複數次,而堆積上 又,例如如請求項2所規定,於形成上述薄膜後,同時供 給上述原料氣體以及與上述支援氣體㈣或相異之支援氣 體,而於上述薄膜上形成厚膜。 X it形下,例如如請求項3所規定,上述厚膜之形成藉由 CVD(化學氣相沈積)而實行。 又,例如如請求項4所規定,上述支援氣體包含氧化氣體。 又,例如如請求項5所規定,上述支援氣體得以活性化。 又,例如如請求項6所規定,藉由使上述支援氣體活性 化,而形成包含由臭氧、氧活性種以及羥基活性種所組成 之群内之任一種以上的氣體。 96089.doc 200525616 又,例如如請求項7所規定,上述原才斗氣體含有金屬。 又,例如如請求項8所規定,上述原料氣體係含有有機金 屬材料。 、 μ求項9之發明係一種成膜裝置,其係於被處理體之表面 堆積薄膜者’其特徵在於··其包含可抽為真空之處理容器; 保持上述被處理體於上述處理容器内之保持機;加熱上述 被處理體之加執機播·料 …铖構,對上述處理容器内供給成膜用之原 ㈣體之原料氣體供給機構;對上述處理容器内供給支援 耽體=支援氣體供給機構;以及控制上述原料氣體與上述 支援孔體之t、給之乳體供給控制機構;又,上述氣體供給 控制機構以將上述原料氣體與上述支援氣體以互異之時序 交互且連續地相互供給複數次的方式而構成。 該情形下,例如如請求項10所規定,上述處理容器以可 收容複數片上述被處理體之大小成形為縱型,上述保持機 構可以特定之間距吝@ 4士,, 夕&地支持上述複數片被處理體,並且 可插脫於上述處理容器内。 [發明效果] 根據本發明’因可將原料氣體與支援氣體以互異之時序 交互且連續地相互供給複數次,故無需使用濺射裝置即可 形成薄膜(種膜)。 因此’因無需使用濺射裝置,僅以CVD用成膜裝置即可 完成成膜處理,故而可削減設備成本。 又’可藉由併用同時流動原料氣體與支援氣體而實行成 膜之CVD法’而於上述薄膜上以較高之成膜率藉由〔Vo法 96089.doc 200525616 形成原膜,故而可提高產量。 【實施方式】 以下’依據隨附圖式詳細闡述本發明之成膜方法以及成 膜裝置之一實施例。 圖1係表示用以實施本發明方法之成膜裝置之一例的構 成圖’圖2係表示成膜時堆積膜之狀態的半導體晶圓之部分 放大剖面圖,圖3係表示成膜時氣體供給態樣的時序圖。首 先’就該成膜裝置加以說明。如圖所示,該成膜裝置。具 有下端開放之形成為圓筒體狀之縱型處理容器14。該處理 容器14可使用例如耐熱性較高之石英。 於該處理容器14之天井部設置有經開口之排氣σΐ6,並 且於該排氣口 16連設有例如直角地向橫方向彎曲之排氣喷 嘴18。並且,於該排氣喷嘴18連接有中途介設有壓力控制 閥20或真空泵22等的排氣系24,可將上述處理容器14内之 氣體%»纟兄以真空排空之方式加以排氣。 上述處理容器I4之下端例如藉由不銹鋼製之筒狀體的歧 管26而支持’自該歧管26之下方,作為多段地以特定間距 載置有複數片作為被處理體之半導體晶圓w的保持機構之 石英製晶舟28可升降地自由插脫。於上述處理容器μ之下 端與上述歧管26之上端之間’介有〇環等密封構件3〇,以維 持該部分之氣密性。於本實施例之情形中,於該晶舟28可 以大致相等之間距多段地支持例如50片左右直徑為3〇〇mm 之晶圓W。 該晶舟28介以石英製保溫筒32而載置於平臺34上,兮平 96089.doc -10- 200525616 堂34經由與使歧管26之下端開口部開關的蓋部糾通之旋 轉軸38之上端部予以支持。並且,於該旋轉軸批貫通部 介設有例如磁性流體密封件4〇’氣密地密封該旋轉軸观 可旋轉地支持其。X,於蓋部36之周邊部與歧㈣之下端 部介設有例如包含0環等之密封構件42,保持處理容器“ 内之密封性。 上述旋轉軸3 8安裝於例如經由晶舟升降機等之升降機構 料而支持之臂46之前端,可一體地升降晶舟28以及蓋部% 專另外,亦可將上述平臺34朝上述蓋部36側固定設置, 而可無需旋轉晶舟2 8亦可實行晶圓w之處理。 於上述處理容器14之側部,以包圍其之方式設置有例如 曰本專利特開2003-209063號公報所揭示之包含碳線製的 加熱器之加熱機構48,而可加熱位於其内側之上述半導體 晶圓W。該碳線加熱器可實現清潔之製程,且升降溫特性 較優良,故而適合於如本發明之複數個連續處理製程。又, 於該加熱機構48之外周設置有絕熱材50,而可確保其熱安 定性。並且,於上述歧管26設置有用以將各種氣體導入供 給至該處理容器14内的各種氣體供給機構。 具體的是,於該歧管26分別設置有對上述處理容器14内 供給成膜用之原料氣體之原料氣體供給機構52、對處理容 器Η内供給第1支援氣體之第1支援氣體供給機構Μ、對處 理容器14内供給第2支援氣體之第2支援氣體供給機構、以 及對處理容器14内供給&等惰性氣體之惰性氣體供給機構 58。另外,作為惰性氣體,亦可使用At*或He等代替ν2。上 96089.doc 200525616 述各氣體供給機構52、54、56、58分別具有貫通上述歧管 26之側壁且其前端部面臨處理容器内而設置的氣體喷嘴 52A、54A、56A、58A。 並且,於自各氣體喷嘴52A、54A、56A、58A延伸之氣體 通道52B、54B、56B、5 8B之中途分別介設有組合如質量流 量控制器之流量控制器與開關閥的氣體控制單元52C、 54C、5 6C、5 8C,可藉由包含微電腦等之氣體供給控制機 構60分別控制上述各氣體控制單元52(:、54C、56c、58C, 控制各氣體之供給與停止以及各氣體流量。 並且,此處,作為一例使用包含Ru之有機材料的Ru(Cp)2 作為原料氣體,使用〇3(臭氧)氣體作為第丨支援氣體,使用 〇2氣體作為第2支援氣體。另外,於上述第i支援氣體供給 機構54之氣體通道52B,中途介設有產生包含上述氧活性種 之〇3氣體的臭氧產生器(未圖示)。 繼而,就使用如上所述構成之成膜裝置12實行的成膜方 法加以說明。 本發明方法之特徵如下:將原料氣體與第i支援氣體以互 異之時序父互且連續地相互供給複數次,而堆積薄膜。又, 此處可«需要繼上述步驟後於同一處縣器14内連續實 行藉由CVD法之成膜處理。 、貝 度之:Γ,2為待機狀態時,處理容器14維持為低於製程溫 的曰:2:Γ載置有常溫之複數片例如5〇片晶圓W之狀態 的日曰舟卿處理容器14内自其下方上升、負載,以蓋㈣ 96089.doc 200525616 關閉歧管26之下端開口部,藉此密閉處理容器“。 並且’將處理容器14内抽為真空,維持為特定之製程壓 力,並且對加熱機構48增大供給電力,藉此上升晶圓溫度, 升溫直至成膜用4製程溫度為止並使其安冑,其後,於實 行每各處理步驟時流量控制所需要之特定處理氣體,並自 各氣體供給機構52、54、S6之氣體喷嘴52a、“A、54八供 給至處理容器14内。 該處理氣體於處理容器14内上升,並與收容於旋轉之晶 舟28之晶圓W接觸’對晶圓表面實施特定之成膜處理。並 且,該處理氣體或藉由反應而產生之氣體自處理容器“之 天井部之排氣口 16排氣至系外。 繼而詳細說明於本發明中之成膜步驟。 本發明方法之特徵如τ :如上料,將原料氣體與支援 虱體(第1支援氣體)以互異之時序交互供給,如圖2(Α)所 示,而於半導體晶圓贾之表面形成包含以膜之種膜(薄 膜)2(參照圖3)。該種膜2之成膜率較小。因此,亦可長時間 地父互供給原料氣體與支援氣體,於凹部6内之内壁面實行 成膜直至形成最終所期望之膜厚為止,但此處若形成有一 定程度之厚度較薄的種膜2,則繼而同時供給原料氣體與支 援氣體(第2支援氣體),藉由成膜率較大之CVD法形成包含 Ru膜之本膜(厚膜)4。 首先’於導入至處理容器14内之當初之晶圓w表面,如 圖2(A)所示形成有凹部6,該凹部6對應於例如接觸孔等。 於此狀態下,首先形成種膜2。此處,藉由原料氣體供^ 96089.doc -13 - 200525616
機構52,將原料氣體之R 器14内,又白笛…。 間歇性地供給至處理容 示以與上述原料氣體之供給相丑之^將=氧體如圖3所 理容器14内,堆積上述種膜2。二夺序間歇性地供給至處 器Μ内連續地實行抽真空。…成艇處理期間,處理容 此處重要的是,原料氣體 給期間Τ2相互連續,對於處理二㈣丁1與支援氣體之供 連續地供給。換言之,氣體交互交替種類 體之供給期間Τ2之間,未實:=t給期間T1與支援氣 ^ ^ ^ 貫仃/现動N2風體排除殘留氣體之 淨化步驟或停止全氣齅 — ’、 仏、—僅貫行抽真空的抽真空步 驟0 若將自原料氣體之-次供給開始至支援氣體之一次供給 -束為止之期間設為!猶環’則圖示例中實行有$循環之成 膜處理’一次循環中於晶圓|之表面上,形成有相當於例 如原料氣體中之今Ji 士 Μ \ 1 金屬大致一分子之厚度的非常薄之膜厚的
Ru膜’,亥薄膜積層例如5層,形成上述種膜2。另外,上述 循環數如上所述,並無限定。此處’上述臭氧氣體作為氧 化氣體發揮功能,使附著乃至吸附於晶圓表面之原料氣體 熱分解’堆積Ru膜。 、關於此時之製程條件,製程溫度為22〇。。左右,製程壓力 為4〇 200 Pa左右,Ru(cP)2氣體之流量為150 seem左右,臭 氧氣體之流置為2〇〇 sccm左右,供給期間T1、丁2總共為兩 分鐘左右。另外,上述臭氧氣體之流量記載為於臭氧產生 中 i、、、、6 3000 sccm 之氧與 24_2sccm 氮,產生 200 g/Nm3 (約 96089.doc -14- 200525616 ίο/)之臭氧時的流量。此方面於以下說明之情形中亦相 同。又,原料氣體之Ru(Cp)2於常溫下為固體,具有謂。c 左右之昇華溫度,故而製程溫度需要高於200。。。以上述之 方式實行成臈處理之結果為,可獲得數原子層之Ru膜。 如上所述,若完成種膜2之成膜處理,則繼而繼續於相同 之處理容器14内藉由CVD法連續成膜本膜4。於該CVD成膜 處里中使用第2支援氣體即〇2氣體代替第j支援氣體,同 時將該〇2氣體與原津斗氣體之Ru(Cp)2氣體供給至處理容器 14内,藉由成膜率較大之CVD法堆積包含Ru膜之本膜#。此 時,上述本膜4以底層之種膜2作為核成長並堆積。該cvd 法之成膜處理因成膜率較大,故不僅可提高產出量,階差 被復丨生亦良好,不僅晶圓w之上面,於凹部6内之内壁面即 底面以及側壁面亦可以大致均一之膜厚有效形成本膜4。此 處,第2支援氣體之a氣體與QH5等配位子反應,促進原料 氣體之分解。 關於该CVD成膜時之製程條件,製程溫度為26〇它左右, 製《力為H)〇 Pa(〇.75 Τ。⑺左右,Ru(Cp)2氣體之流量為 15〇SCCm左右,〇2氣體之流量為3〇〇sccm左右。該情形下, 於流動有原料氣體之3〜10倍之〇2氣體的情形時,可形成 Rn〇2膜,對需要電阻低於Ru膜之低電阻膜之情形較好。另 外’其後,上述凹部6内藉由例如氧化膜等而嵌入。 如此,於本發明方法中可於一個處理容器丨4内連續進行 種膜2與本膜4之成膜,故而可無需於先前方法中必需之用 以形成種膜的濺射裝置,而可削減設備成本。 96089.doc -15- 200525616 此處,就為抑制氣相中原料氣體之分解反應的產生,如 先前之日本專利特開2003_193233號公報所揭示,於交互供 給原料氣體與氧化氣體時,於各氣體之供給期間實行排除 處理容器内之殘留氣體的淨化步驟之情形的評估加以討 淪,因此就其討論結果加以說明。圖4係表示成為此種討論 對象之比較例1之氣體的供給態樣之時序圖。 與圖3所示之時序圖相比可瞭解,於該比較例丨中,於原 料氣體之Ru(Cp)2氣體供給期間T1與支援氣體(氧化氣體)之 供給期間T2之間,實行以下步驟:流動例如n2氣體作為惰 性耽體並貫行抽真空,排除處理容器14内之殘留氣體的淨 化步驟pg。該淨化步驟Pg之期間T3大致為兩分鐘。關於其 他製程條件’與圖3中所說明之情形完全相同,於該處理之 後不久,實行與上述相同之CVD成膜處理。其結果為,可 確認於組人淨化步驟Pg實行處理之情料,於㈣表面幾 乎未發現種臈之形成,又,即使於該晶圓藉由cvd法實行 成膜處理’ RU膜亦幾乎未堆積。χ,除上述淨化步驟^之 外^或代替上述淨化步驟pg,實行停止所有氣體之供給, 僅實行抽真空排除殘留氣體之抽真空步驟的情形下,亦可 確1^與上述同樣幾乎未發現Ru膜之堆積。 可^為此時之反應過程以如下方式實行。圖$係模式性地 ^厂、較例1之反應過程的圖,圖6係模式性地表示本發明 之反應過程的圖。 於=例1之情形下,如圖5所示,若將原料氣體供給至 处谷DD内貝1J原料氣體分子χ附著乃至吸附於晶圓w之表 96089.doc •16- 200525616 面(圖5(A)),繼而,若實行淨化步驟或抽真空步驟,則不僅 氣體環境中之原料氣體分子X,吸附於晶圓W之表面的原料 氣體分子X亦會剝離(圖5(B))。其結果為,成為晶圓w之表 面未吸附有任何氣體的狀態(圖5(C))。可認為該原因係因為 原料氣體之Ru(Cp)2氣體之表面吸附力並非如此強大,其吸 附力較弱。 於此種狀態下,即使供給臭氧氣體,臭氧氣體分子Y因晶 圓表面未存在原料氣體分子X,故不會生成Ru原子(圖 5(D))。因此,即使反覆實行上述各操作,亦不會於晶圓w 之表面堆積任何種膜。 對此,於本發明方法之情形下,如圖6所示,若將原料氣 體供給至處理容器内,則原料氣體分子χ會附著乃至吸附於 晶圓表面(圖6(A)),繼而不實行淨化步驟或抽真空步驟,供 給氧化氣體之臭氧氣體(圖6(Β)),則臭氧氣體分子Υ會作用 於吸附於晶圓W之表面的原料氣體分子χ,將其熱分解,而 堆積Rii原子ζ(圖6(C))。 繼而,若再次將原料氣體供給至處理容器内,則原料氣 體为子X會附著乃至吸附於晶圓表面之Ru原子z上(圖 6(D)) 〇 繼而’若供給氧化氣體之臭氧氣體(圖6(E)),則臭氧氣體 刀子Y會作用於吸附於晶圓w之表面的原料氣體分子χ,將 其熱分解,而堆積第2層Ru原子Ζ(圖6(F))。以下反覆同樣之 操作,堆積特定厚度之種膜2。 如此’於本發明方法中,可確認於原料氣體之供給期間 96089.doc 200525616 與氧化氣體(支援氣體)之供給期間之間,可藉由不實行淨化 步驟或抽真空步驟,形成種膜2。 繼而,因就本發明方法之種膜2之形成步驟中臭氧之流量 以及溫度依存性加以評估,故就其評估結果加以說明。 為I成圖2所不之種媒2,於圖3所示之成膜步驟(實施例 υ中分別將製程溫度設u22(rc左右,將臭氧氣體之流量 設定,200 SCCm左右而實行,但於該實施例2中,將製程溫 度設定為與上述相同之22Gt左右,臭氧氣體之流量設定為 上述:1·5倍的3〇〇 sccm左右。並且’於完全相同之製程條 件下藉由CVD法實行成膜處理。 其結果為,於該實施例2中總膜厚較先前之實施例丨大幅 :下降例如降低至10〜20%左右,可確認Ru膜僅少量成 膜。因此,於製程溫度為戰左右時之臭氧氣體之最大值 為30〇sccm左右,若流動3〇〇sccm以上之臭氧氣體,則幾乎 無法形成種膜,亦無法藉由CVD法成膜。如此,若大量流 動臭氧氣體則難以形成種膜之原因可考慮為如下之情形。 即可認為此係因為,臭氧氣體之濃度、或流量較多之情形 下’會姓刻所附著或吸附膜。 <比較例2> 繼而作為比較例2,將臭氧氣體之流量設定為與上述實施 例2相同之300 sccm左右,製程溫度設定為高於實施例二之 26(TC左右。 並且,於與先前說明完全相同之製程條件下藉由CVD& 貫仃成膜處理。其結果為,於該比較例2中不僅發現以目視 96089.doc -18- 200525616 程度即可確認之顆纟,亦無法形成任―膜種,因此,即使 貫:CVD法亦無法成膜。藉此,可確認製程溫度為·。c係 k同可。心為其係因為溫度過冑,則Ru价h之氣相中之反 應活躍,I常之分解物會堆積於晶圓上。可認為實施例2 中所次明之臭氧氣體之蝕刻作用於26〇。。之更高的溫度下 可較強地發揮,成為支配性者。 繼而,因就本發明方法之種膜2之形成步驟甲第丨支援氣 體之種類其机里以及其溫度加以評估,故就其評估結果 加以說明。 产於先前說明之實施例丨中,以使用氧化氣體作為第工支援 氣體’使用臭氧作為該氧化氣體之情形為例加以說明,但 亦可使用02氣體代替上述臭氧作為氧化氣體。 <比較例3> 為形成圖2所示之種膜2,於圖3所示之成膜步驟(實施例 γ分別將製程溫度設定為22(rc左右,將臭氧氣體之流量
。又疋為200 sccm左右而實行,但於該比較例3中,製程溫度 一疋為―、上述相同之220 C左右,使用〇2氣體代替臭氧作為 氧化氣體(支援氣體),並且其流量設定為上述15倍之 SCCm左右。並且,於完全相同之製程條件下藉由CVD法實 行成膜處理。 其結果為,於該比較例3中,雖可確認種膜之形成,但式 產生以目視即可確認之程度之大小的顆粒,故可確認為為 法使用。可認為此原因係因為,於使用不具有活性之〇2| 體且將製程溫度設定為僅稍高於原料之昇華溫度的22〇。( 96089.doc -19- 200525616 左右的情形下,原料氣體會再固化而產生較大之顆粒。 <實施例3> 繼而作為實施例3,作為氧化氣體之Q2氣體之流量設 與上述比較例3相同之湖_左右,製程溫度設定為^ _例3之2抓左右°並且,於與先前說明完全相同之製 程條件下藉由CVD法實行成膜處理。其結果為,於該實施 例3中可確認種膜錢切之形成。又,亦產生有顆粒,作 其大小較比較例3之顆粒相當小,可確認其可耐使用。 <實施例4> 繼而作為實施例4’ t程溫度設定為與上述實施例3相同 之260°C左右’作為氧化氣體之〇2氣體之流量設定為少於實 施例3之200 seem左右。並且,於與先前說明完全相同之势 程條件下藉由CVD法實行成膜處理。其結果為,於該實施 例4中可確認種膜以及本膜之形成。又,亦產生有顆粒,但 其大小更小於實施例3之顆粒,故可確認為可耐使用者。因 此,於種膜之形成步驟中,於使用A氣體作為氧化氣體, 且將製程溫度設定為26(TC以上之情形時,判斷為可形成較 良好之本膜(厚膜)。 另外,於本實施例中,使用Ru(Cp)2氣體作為原料氣體, 但並非限定於此,亦可使用雙乙基環戊二烯基釕(Ru(EtCp)2: Ru(C2H5C5H4)2)。 又,此處就與種膜(薄膜)以及本膜(厚膜)一同堆積1111膜之 情形加以說明,但並非限定於此,於形成Ti、Ta、w(嫣)、 Hf、A1等金屬或該等之金屬化合物(亦包含氧化膜、氮化膜 96089.doc -20- 200525616 等)膜之情形下,進而於堆積Ρζτ膜(Pb、Zr、Ti之氧化物膜)、 BS 丁膜(Ba、Sr、Ti之氧化物膜)等之情形下亦可適用本發明。 此處,作為原料氣體可使用含有金屬材料氣體、有機金 屬材料氣體等。例如,於形成^膜時使用pET(五乙氧基鈕) 作為原料氣體,且使用Η"作為支援氣體,於形成BST膜時 使用BST材料作為原料氣體,且使用Η"作為支援氣體,於 形成pzt膜時使用Ρζτ材料作為原料氣體,且使用h2〇作為 支援氣體,但於該情形下,作為支援氣體之H2〇具有作為氧 化氣體之功能。 又,於形成TiN膜時使用TiCh氣體作為原料氣體,且使 用NH3氣體作為支援氣體,但於該情形下,作為支援氣體之 NH3氣體作為還原氣體發揮功能。 又,於本發明之實施例中,使用〇2氣體作為支援氣體, 將藉由使其活性化而獲得之臭氧主要用於反應,但並非限 定於此,亦可使用Η:氣體與A氣體作為支援氣體,使其於 低減壓氣體裱境下反應,產生氧活性種與羥基活性種(例 如曰本專利特開2002-176052號公報)。 “又’於該情形T,可僅使用氧活性種,此種氧活性種可 藉由於電漿氣體環境下暴曬〇2氣體而產生,具體的是, 日本專利特開平5_251391號公報或日本專利特二 280378號公報等所揭示’使用電漿產生上述氧活性種即可。 又,此處作為成膜裝置,以縱型分批之單管式成膜裝置 為例加以說明,但並非限定於此,於縱型分批之雙重管 成膜裝置或逐片處理晶圓之片葉式成膜裝 、衣置甲亦可適用本 96089.doc -21 - 200525616 發明。 又,成為成膜對象之膜並非限定於電容器電極,閘極電 極或障壁層亦可使用本發明方法而形成。 再者,本發明中作為被處理體並非限定於半導體晶圓, 對於LCD基板或玻璃基板等亦可適用。 【圖式簡單說明】 圖1係表示用以實施本發明方法之成膜裝置之一例的構 成圖。 圖2(Α)、ϋ 2⑻係表示成膜時堆積膜之狀態的半導體晶 圓之部分放大剖面圖。 圖3係表示成膜時氣體之供給態樣的時序圖。 圖4係表不成為討論對象之比較例i之氣體之供給態樣的 時序圖之圖。 圖5(A)至圖5(D)係模式性地表示比較例工之反應過程的
圖6(A)至圖6(F)_式性地表示本發明之反應過程的圖。 圖7(A)、圖7⑻係說明如膜之過去成膜方法的圖。 【主要元件符號說明】 2 4 12 14 16 18 種膜(薄膜) 本膜(厚膜) 成膜裝置 處理容器 排氣口 排氣噴嘴 96089.doc 22- 200525616 20 22 24 26 28 30,42 32 34 36 38 40 44 46 48 50 52
52A,54A,56A,58A 52B,54B,56B,58 52C,54C,56C,58C 54 56 58 60 W 壓力控制閥 真空泵 排氣系 歧管 晶舟(保持機構) 密封構件 保溫筒 平臺 蓋部 旋轉軸 磁性流體密封件 升降機構 臂 加熱機構 絕熱材 原料氣體供給機構 氣體噴嘴 氣體通道 氣體控制單元 第1支援氣體供給機構 第2支援氣體供給機構 惰性氣體供給機構 氣體供給控制機構 半導體晶圓(被處理體)
96089.doc -23-

Claims (1)

  1. 200525616 十、申請專利範圍: !•-種成膜方法,其於可抽真空之處理容器内供給原料氣 體與支援氣體,而於被處理體之表面堆積薄膜,其特徵 在於: 將上述原料氣體與上述支援氣體以互異之時序交互且 連續地相互供給複數次,而堆積上述薄膜。 2.如請求項1之力膜方法,#中於形成上述薄膜後,同時供 給上述原料氣體以及與上述支援氣體相同或相異之支援 氣體,而於上述薄膜上形成厚膜。 3·如請求項2之成膜方法,#中上述厚膜之形成係藉由 C VD(Chemical Vap〇r Dep〇siu〇n,化學氣相沈積)而實行。 4·如明求項1至3中任一項之成膜方法,#中上述支援氣體 包含氧化氣體。 5·如請求項…中任—項之成膜方法,其中上述支援氣體 得以活性化。 6· I月求項5之成膜方法,其中可藉由使上述支援氣體活性 化,形成包含由臭氧、氧活性種、以及羥基活性種所組 成之群内之任一種以上的氣體。 明求項1至6中任一項之成膜方法,其中上述原料氣體 含有金屬。 8·如μ求項7之成膜方法,其中上述原料氣體為含有有機金 屬材料。 成膜液置,其係於被處理體之表面堆積薄膜者,其 特徵在於:包含 96089.doc 200525616 處理容器,其可抽為真空; 保持機構,其保持上述被處理體於上述處理容器内; 加熱機構,其加熱上述被處理體; 原料氣體供給機構,i 再 /、對上述處理容器内供給成膜用 之原料氣體,· 胰用 支援氣體供給機構,並料l、+、士 风稱其對上述處理容器内供給支援氣 體;以及 ” 孔體供給控制機構,其控制上述原料氣體與上述支援 氣體之供給;又 ,上述氣體供給控制機構以將上述原肖氣體肖上述支援 氣體以互異之時序交互且連續地相互供給複數次之方式 構成。 ίο. 如明求項9之成膜裝置,其中上述處理容器以可收容複數 片上述被處理體之大小成形為縱型, 上述保持機構可以特定之間距多段地支持上述複數片 被處理體’並且可插脫於上述處理容器内。 96089.doc
TW093130157A 2003-10-07 2004-10-05 Film formation method and apparatus for semiconductor process TW200525616A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003348869 2003-10-07
JP2004265205A JP4770145B2 (ja) 2003-10-07 2004-09-13 成膜方法及び成膜装置

Publications (2)

Publication Number Publication Date
TW200525616A true TW200525616A (en) 2005-08-01
TWI366867B TWI366867B (zh) 2012-06-21

Family

ID=34655984

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093130157A TW200525616A (en) 2003-10-07 2004-10-05 Film formation method and apparatus for semiconductor process

Country Status (4)

Country Link
US (1) US7229917B2 (zh)
JP (1) JP4770145B2 (zh)
KR (1) KR100919527B1 (zh)
TW (1) TW200525616A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI419205B (zh) * 2006-05-23 2013-12-11 Tokyo Electron Ltd Semiconductor manufacturing device

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5207962B2 (ja) * 2006-02-28 2013-06-12 東京エレクトロン株式会社 ルテニウム膜の成膜方法
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US8298909B2 (en) 2006-12-27 2012-10-30 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
KR100869343B1 (ko) * 2007-08-31 2008-11-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조 방법
JP6118149B2 (ja) * 2013-03-21 2017-04-19 東京エレクトロン株式会社 ルテニウム膜の形成方法および記憶媒体
JP2020132904A (ja) * 2019-02-13 2020-08-31 東京エレクトロン株式会社 基板処理方法及び基板処理装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
JPH02258609A (ja) * 1989-03-31 1990-10-19 Kokusai Chiyoudendou Sangyo Gijutsu Kenkyu Center 酸化物超電導膜の製造方法
JPH05251391A (ja) 1992-03-04 1993-09-28 Tokyo Electron Tohoku Kk 半導体ウエハーのプラズマ処理装置
JPH11354751A (ja) 1998-06-04 1999-12-24 Toshiba Corp 半導体装置,半導体装置の製造方法および半導体製造装置
KR100389913B1 (ko) * 1999-12-23 2003-07-04 삼성전자주식회사 공정조건을 변화시키면서 화학기상 증착법으로 루테늄막을형성하는 방법 및 그에 의해 형성된 루테늄막
JP3437832B2 (ja) * 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4034518B2 (ja) 2000-03-31 2008-01-16 株式会社日立国際電気 半導体装置の製造方法
JP3436256B2 (ja) 2000-05-02 2003-08-11 東京エレクトロン株式会社 被処理体の酸化方法及び酸化装置
US6913996B2 (en) * 2000-11-17 2005-07-05 Tokyo Electron Limited Method of forming metal wiring and semiconductor manufacturing apparatus for forming metal wiring
JP3437830B2 (ja) * 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
JP3979849B2 (ja) 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
KR20020065245A (ko) * 2001-02-06 2002-08-13 주식회사 하이닉스반도체 피이에이엘디법을 이용한 박막 증착방법
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
JP2004530294A (ja) * 2001-03-16 2004-09-30 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
JP4032872B2 (ja) 2001-08-14 2008-01-16 東京エレクトロン株式会社 タングステン膜の形成方法
JP2003068676A (ja) 2001-08-28 2003-03-07 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び半導体製造装置
JP2003209063A (ja) 2001-11-08 2003-07-25 Tokyo Electron Ltd 熱処理装置および熱処理方法
JP2003218098A (ja) * 2002-01-18 2003-07-31 Tokyo Electron Ltd 処理方法及び処理装置
JP2003229425A (ja) * 2002-02-05 2003-08-15 Hitachi Kokusai Electric Inc 基板処理装置
JP2004091874A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 成膜方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI419205B (zh) * 2006-05-23 2013-12-11 Tokyo Electron Ltd Semiconductor manufacturing device

Also Published As

Publication number Publication date
JP4770145B2 (ja) 2011-09-14
US7229917B2 (en) 2007-06-12
KR20050033820A (ko) 2005-04-13
KR100919527B1 (ko) 2009-10-01
JP2005133203A (ja) 2005-05-26
TWI366867B (zh) 2012-06-21
US20050176261A1 (en) 2005-08-11

Similar Documents

Publication Publication Date Title
KR100960273B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP5036849B2 (ja) 半導体装置の製造方法、クリーニング方法および基板処理装置
KR100975268B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP3670628B2 (ja) 成膜方法、成膜装置、および半導体装置の製造方法
TWI415190B (zh) 半導體裝置之製造方法及基板處理裝置
KR101097753B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
JP2011168881A (ja) 半導体装置の製造方法及び基板処理装置
KR100989028B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
TW200525616A (en) Film formation method and apparatus for semiconductor process
JP6118149B2 (ja) ルテニウム膜の形成方法および記憶媒体
JP4348835B2 (ja) クリーニング方法
KR20080069918A (ko) SrTiO3 막의 성막 방법
KR101493130B1 (ko) 산화루테늄막의 성막 방법 및 기억 매체
JP5568342B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理システム
WO2020235596A1 (ja) 成膜方法および成膜装置、ならびに処理容器のクリーニング方法
JP2009299101A (ja) 半導体装置の製造方法および基板処理装置
JP2006191151A (ja) 半導体装置の製造方法および基板処理装置
JP2001308087A (ja) 成膜方法及び成膜装置
JP5174975B2 (ja) 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2013199673A (ja) 酸化ルテニウム膜の成膜方法および酸化ルテニウム膜成膜用処理容器のクリーニング方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees