TW200428658A - Method for fabricating a gate structure of a field effect transistor - Google Patents

Method for fabricating a gate structure of a field effect transistor Download PDF

Info

Publication number
TW200428658A
TW200428658A TW093110641A TW93110641A TW200428658A TW 200428658 A TW200428658 A TW 200428658A TW 093110641 A TW093110641 A TW 093110641A TW 93110641 A TW93110641 A TW 93110641A TW 200428658 A TW200428658 A TW 200428658A
Authority
TW
Taiwan
Prior art keywords
substrate
layer
gate
gas
residue
Prior art date
Application number
TW093110641A
Other languages
English (en)
Inventor
Ajay Kumar
Padmapani C Nallan
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200428658A publication Critical patent/TW200428658A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q7/00Arrangements for handling work specially combined with or arranged in, or specially adapted for use in connection with, machine tools, e.g. for conveying, loading, positioning, discharging, sorting
    • B23Q7/04Arrangements for handling work specially combined with or arranged in, or specially adapted for use in connection with, machine tools, e.g. for conveying, loading, positioning, discharging, sorting by means of grippers
    • B23Q7/048Multiple gripper units
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B25/00Screws that cut thread in the body into which they are screwed, e.g. wood screws
    • F16B25/0036Screws that cut thread in the body into which they are screwed, e.g. wood screws characterised by geometric details of the screw
    • F16B25/0042Screws that cut thread in the body into which they are screwed, e.g. wood screws characterised by geometric details of the screw characterised by the geometry of the thread, the thread being a ridge wrapped around the shaft of the screw
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16BDEVICES FOR FASTENING OR SECURING CONSTRUCTIONAL ELEMENTS OR MACHINE PARTS TOGETHER, e.g. NAILS, BOLTS, CIRCLIPS, CLAMPS, CLIPS OR WEDGES; JOINTS OR JOINTING
    • F16B39/00Locking of screws, bolts or nuts
    • F16B39/22Locking of screws, bolts or nuts in which the locking takes place during screwing down or tightening
    • F16B39/28Locking of screws, bolts or nuts in which the locking takes place during screwing down or tightening by special members on, or shape of, the nut or bolt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

九、發明說明: 【發明所屬之技術領域】 、本發明係有關於一種在半導體基底上製造元件之方 法本叙明特別是有關於一種製造場效電晶體(Field Effect Transistor)之方法。 【先前技術】 超大型積體電路(Ultm-scale hnegrated Circuit,“ULsr) 傳統上包括超過—百萬個電晶體在半導體基底上形成,而 其合作執行在電子裝置中不同的功能。這樣的電晶體包括 互補式金氧半導體(Complementary Metal〇xide_ semiconductor,底下簡稱為“CM〇s,,)場效電晶體。 CMOS電晶體包括一閘極結構形成於定義在半導體基 底内的源極區域(Source Regi〇n)與汲極區域(以― Region)。此閘極結構一般而言包括一閘極電極 Electrode)形成於一閉極介電材料上。此閑極電極控制在 間極;丨電材料下’由源極區域與汲極區域之間所形成的一 ,道區域(Channel Region)之電荷載子(Charge㈤㈣的 *動’因而可控制電晶體之開啟與關閉之狀態。此通道區 域源極區域與汲極區域在此業界統稱為“電晶體接面 (丁ransistor Junct腦),,。目前不變的趨勢是降低電晶體接面 的尺寸,以及因為如此,必須降低閉極電極的寬度,以便 促進此電晶體的操作速度之加快。 此間極電極-般是由摻雜多晶石夕(Si)所形成,而此閘極 介電材料可包括-高介電常數物質(例如一種具有介電常 13574pif.doc 5 200428658 數高於4之物質),例如二氧化铪(Hafniurn di〇xide, Hf02 )、二氧化石夕給(Hafnium silicon dioxide,“HfSi02”)、 氮氧化铪(Hafnium oxynitride,“HfSiON”)等等之薄層(例 如20到60埃(Angstmms))。這樣具有介電常數高於4·〇 的介電材料則在此技術領域中都稱為高介電係數材料(底 下簡稱“high-k”材料)。而閘極介電材料也可包括氮化矽 (Silicon Nitride,Si3N4)層、二氧化矽(Silicon Dioxide,Si02) 層等等類似材料。 此CMOS電晶體可藉由在半導體基底内定義源極與汲 極區域來製造(例如,使用離子植入製程在基底内產生植 入區域)。而後,這些包含閘極(例如包括high-k介電層與 多晶矽)的材料層以沉積(Deposition)的方式沉積在基底上 並且使用後績的電漿餘刻製程(Plasma Etch Process)進行 圖案化(Pattern),以形成此閘極結構。 此用以圖案化多晶石夕層的電漿餘刻(Plasma_etch)製 程,一般包括化學氣體’其會產生聚合殘留物(Polymeric residues),可能累積殘留在閘極結構的側壁(Sidewalls)。 而後,在後續對high-k介電層的電漿蝕刻時,這些殘留 物將會妨礙基底的製造過程,例如,這些殘留物將增加閘 極結構的圖形尺寸。除此之外,此聚合殘留物也可能污染 基底,或是使後續要沉積許多層時增加其困難度。 傳統上,此聚合殘留物可使用濕蝕刻來移除。然而, 這樣的製程非常耗費時間,而且增加製造閘極結構的成 本。 13574pif.doc 6 結構 =造f要針晶體的間極 【發明内容】 ° 此場效電㈣之.結構之方法。 極層㈣/ — 二相刻—物質堆疊結構包括-閘極電 甲° ;丨電層上。在蝕刻此閘極介電層前,先 吐:、人田閘極?ί形成時沉積在基底上之-聚合殘留物。 ^殘留物是藉由將基底暴露在一電漿中而移除,而此 :、水匕括一個或多個含碳氟化合物(Flu⑽咖b〇n_ ⑽taining)氣體與至少一種惰性氣體。 為讓本發明之上述和其他目的、特徵、和優點能更明 懂,下文特舉一較佳實施例,並配合所附圖式,作詳 細說明如下: ^ 【實施方式】 本發明是一種場效電晶體之閘極結構之製造方法,例 如,補式金氧半導體(CMOS)場效f晶體。這樣的閘極結 構是由依序蝕刻由形成於一閘極介電層上的一閘極電極所 形成的材料堆疊結構所形成。在蝕刻閘極介電層之前,形 成於基底上方的聚合殘留物(Polymeric residues)在當钕刻 ,閘極電極時,將會被移除。此聚合殘留物是由使基底暴 ^到包括一個或是多個包含碳氟化合物(Flu〇rocarb〇n)與 彔乂 一惰性氣體(Inert gas)的電聚(Plasma)中。 圖1說明本發明之用以製造CMOS電晶體閘極結構之 方去之一實施例之一流程圖順序100。此順序100包 13574pif.doc 7 200428658 括在製造CMOS電晶體時,閘極結構之薄膜堆疊上所進 行的製造過程。 圖2A-2F是說明使用順序1〇〇製造具有閘極結構的薄 膜堆疊之基底’其簡要剖面(Cross-sectional Views)圖系 列。這些在圖2A-2F中的剖面圖是與製造此閘極結構所 使用的個別步驟相關的圖示。為了仍更了解此發明,讀者 應該同時參照圖1與圖2A-2F之内容。次製程(Sub-process) 與微影程序(Lithographic Routine)(例如光阻的曝光與顯影 (Exposure and development of Photoresist)、晶圓清洗程序 等等類似之程序)為此技術領域者所皆知,因此不顯示在 圖1與圖2A-2F之内容。在圖2A-2F之圖像並非用來說 明放大圖示,而僅係為了讓本發明更了解之示意圖。 順序100從步驟101開始,而後進行步驟1〇2,當一 閘極電極堆疊(Gate Structure Stack)202形成於一基底200 之上(圖2A)。此基底200(例如是矽基底,或是其他類似 的基底)包括一已摻雜源極區域(Doped Source Region)232 與一已摻雜沒極區域(Doped Drain Region)234,而其由一 通道區域(Channel Region)236所分隔開。在一選擇實施例 中’此基底200可更包括一阻障層(Barrier Film)201(僅在 圖2A中的斷續線(Broken Line)所顯示),用以保護通道區 域236避免遭到污染物(例如氧(〇xygen,“〇2,,)之污染, 而此污染物可能從閘極電極堆疊202擴散而來。此阻障層 201可包括一介電物質,例如二氧化矽(silic〇n Di〇xide, “SiCV)、氮化矽(Si3N4)、或類似之材質。 8 13574pif.doc 200428658 此閘極電極堆疊202 —般而言包括一閘極電極層2〇6 與一閘極介電層204。在一範例實施例中,此閘極電極層 206疋由具有大約500到6000埃(Angstroms)厚度之摻雜 多晶矽(Doped Polysilicon)所組成,而閘極介電層2〇4則 是由具有大約10到60埃厚度的二氧化铪(Hafnium dioxide,“Hf(V,)所組成。此閘極介電層2〇4亦可選擇性 地包括一個或是多個具有介電常數高於4·〇的high-k介電 材料所組成’例如^一氧化妙給(Hafnium silicon dioxide, “HfSi〇2”)、氮氧化給(Hafnium oxynitride,“HfSiON,,)或 類似的材料。然而,可理解的是,此閘極電極堆疊2〇2可 包括由其他材質或是其他具有不同厚度的材料層所組成。 而閘極電極堆疊202所包括的許多材料層可使用任何 傳統的沉積技術所形成,例如原子層沉積法(At〇mic Layer Deposition,底下稱為“ALD”)、物理氣相沉積法(Physical Vapor Deposition,底下稱為“PVD”)、化學氣相沉積法 (Chemical Vapor Deposition,底下稱為“CVD,,)、電漿加強 化學氣相沉積法(Plasma Enhanced CVD)或其他類似之方 法。CMOS場效電晶體之製造可經由使用從經由美國加 州聖土合克拉納之運用材料公司(Applied Materials,Inc.)取 得的CENTURA®、ENDURA®、與其他半導體晶圓製造程 序系統,所對應的製程模組完成。 在步驟104中,一種圖案化光罩(Patterned Mask)214 形成於在區域220(圖2B)之閘極電極層206上。此圖案化 光罩214用以定義所要形成的閘極結構之位置與形狀尺 13574pif.doc 9 200428658 寸。在一說明之實施例中,此圖案化光罩214,當暴露出 閘極電極堆疊202之鄰近區域222時,用以保護‘“威 236,以及源極區域232與汲極區域234之一部分。 此圖案化光罩214 —般而言為是由在閘極結構製造過 程中可抗蝕刻劑(Etchants)之材質所形成之硬光罩,而其 可適用在溫度攝氏(Celsius)溫度高達350度之環境中。而 超過攝氏350度的溫度’則可用來移除聚合殘留物 (Polymeric residues),以及蝕刻閘極介電層204(底下的步 驟108將會介紹)。此圖案化光罩214可包括介電材料, 例如二氧化石夕(Silicon Dioxide,“Si02”)、非*士晶碳 (Amorphous Carbon,“α-carbon”)、進階圖案化層(Advanced Patterning Film™,“AMF”)(此可由美國加州聖塔克拉納之 運用材料公司(Applied Materials,Inc.)所取得),以及其他 類似的材料。在此說明的實施例中,此圖案化光罩214是 由二氧化矽(Si02)所組成。 此圖案化光罩214亦可進一步包括一光學反反射 (Optical anti_reflective)層221(如圖2B中的斷續線所顯 示),用以控制在圖案化光罩時之光線的反射情況。由於 特徵尺寸(Feature Size)—直在降低,在蝕刻光罩圖案轉換 製程時的不精確,是由於在微影製程中所原本存在之光學 上的限制’例如光線之反射問題。此光學反反射層221可 包括’例如’氮化石夕(Si3N4)、聚胺類(p〇lyamides)材料、 以及其他類似的材料。 提供圖案化光罩214的製成已經在申請人所申請的美 10 13574pif.doc 國專利申請案中介紹了,例如,在申枝 而申請日為2⑻2年9月16日(代理人^245’130 哀刹由咬安 干/月16日(代理人就石馬為7524)之美國 案’以及中請號為1〇/338,251而中請日為薦 η = 戈理人號碼為7867)之美國專利申請案,在此 一併列入參考。 在步驟106巾,此閘極電極層2〇6在 將會被_移除,以形成閘極電極2G6(例如,多 ,電極)(圖2C)。此閘極電極層206可經由使用含有画素 氣體混合物(Hal〇gen-containing Gas Mixture)所進行之電漿 蝕刻。而此含有鹵素氣體混合物包括—個或多個_素氣 體’例如氣(Chlorine,“Cl2”)、漠化氫(Hydr〇gen阶⑽此, HBr )、四氟化石炭(Carbon Tetrafluoride,“CF4”)、以及其 他類似的氣體。此含有鹵素氣體混合物可選擇性地包括惰 性稀釋氣體(Inert Diluent Gas),例如最少包含氣 (Nitrogen,“N2”)、氬(Argon,“Ar”)、氦(Helium,“He”)、 氖(Neon,“Ne”)、或其他類似的氣體,其中一種。在一實 施例中,步驟106使用圖案化光罩214當成蝕刻的光罩, 而閘極介電層204(例如二氧化铪(Hf〇2))當成為蝕刻終止 層(Etch Stop Layer)。 步驟106可在一钱刻反應器(Etch Reactor)中進行,例 如一 CENTURA®系統的|虫刻反應器Decoupled Plasma Source(DPS)II反應器,此可由美國加州聖塔克拉納之運 用材料公司(Applied Materials,Inc·)所取得。此DPS II反 應器使用電源(例如一種感應式耦合天線“Inductively 13574pif.doc 11 200428658
Coupled Antenna”)產生一高密度感應耦合電漿。為了決定 蝕刻製程的終止點(Endpoint),此DPS II反應器也可包括 一終止點偵測系統(Endpoint Detection System),以便監控 電漿射出在一特定的波長、控制製程的時間、或進行雷射 干涉計量(Laser Interferometery)、以及其他類似等等。 在一說明實施例中,此包含多晶矽材質之閘極電極層 206在DPS II反應器中進行蝕刻時,可提供具有流速2〇 到 300 seem (Standard Cubic Centimeter Per Minute)的漠化 氫(HBr)、具有流速20到300 seem的氯(Cl2)(換言之,漠 化氫:氣之流速比例可從1:15到15:1)、具有流速〇到200 seem的氮(N2)、提供一介於200到3000瓦(W)的電源給 感應式耦合天線、提供一介於〇到300瓦(W)之陰極偏壓 功率(Cathode Bias Power)、以及維持晶圓在製程腔體 (Process Chamber)介於2到100 mTorr壓力下的溫度在攝 氏20度到80度之間。在一例子中,可提供具有流速4〇sccm 的溴化氫(HBr)、具有流速40 seem的氣(Cl2)(換言之,溴 化氫:氣之流速比例為1:1)、具有流速20 sccm的氮(n2)、 k供1100瓦(W)的電源給感應式麵合天線、提供一介於2〇 瓦(w)之陰極偏壓功率、以及維持晶圓在腔體在45 mT〇rr 力下的溫度在攝氏45度。這樣的製程條件可針對多晶 石夕材質(閘極電極層206)對於二氧化給(Hf〇2)(也就是閘極 介電層204)提供一蝕刻選擇比⑽ch Sdectivity)最少在 ι〇ο··ι,而且對於二氧化矽(si〇2)(也就是圖案化光罩214) 提供一蝕刻選擇比最少在10:1。 12 13574pif.doc 200428658 在步驟106中,一部分的材質從閘極電極層206中被 移除,而與触刻氣體混合物(例如含有鹵素氣體混合物)之 組成以及圖案化光罩214的組成相結合,而形成非揮發性 (Non-Volatile)之化合物。這樣的非揮發性化合物可變成重 新沉積到晶圓200表面上,而形成一聚合殘留物(p〇lymeric residues)層207(圖2C中的斷續線所顯示)。此聚合殘留物 層207 —般會在光罩214、閘極電極216之侧壁 (Sidewall)205、閘極介電層204的表面203、以及在晶圓 200上的其他位置。此聚合殘留物層207從晶圓2〇〇之外 形表面上來看,通常具有大約10到5〇埃(八叩坩〇111)的厚 度。 聚合殘留物層207 —般而言對於用來圖案化閘極介電 層204(將在底下的步驟108中說明)的蝕刻化學成分都具 有其抗拒性(Resistant)。就其本身而言,除非此聚合殘留 物層207能從晶圓200上移除,否則當接著對閘極介電層 204進行圖案化時,閘極結構的尺寸正確性將會受到連累 而影響。在一範例的實施例中,聚合殘留物層2〇7的角落 (Comer)區域211可能會成為一光罩,而其具有比所希望 形成的閘極結構寬度還要寬的寬度。 在步驟108巾,此聚合殘留物層2〇7已被移除,而在 區域222_的範圍内的閘極介電層2〇4將會被蝕刻(如圖2D 到2E所示)。一般而言,步驟1〇8依序使用多個電漿蝕刻 製权’包括-第-時間區間11Q時,移除聚合殘留物声 207’而後接著在一第二時間區間112,蝕刻此閘極介‘ 13574pif.doc 13 200428658 層 204 〇 此第一時間區間110與第二時間區間112可使用特定 目的之蝕刻反應器或在同一腔體内(也就是所謂的In-situ) 依序完成。例如,在CENTURA⑧系統的去耦電漿來源高 溫(Decoupled Plasma Source-High Temperature,底下簡 稱“DPS-HT”)模組中進行。此DPS-HT模組與DPS II模組 每一個皆具有類似的設定,然而,在DPS-ΗΤ模組内基底 的溫度可以控制在攝氏200到350度之範圍内。 在一實施例中,步驟106與108可在單一 CENTURA® 系統内的蝕刻反應器元件内進行。步驟106可由例如 CENTURA'系統内的DPS II模組完成,而後將晶圓200 經由真空的環境中,經由系統其所具有的晶圓自動控制裝 置傳送到相同系統内的DPS-ΗΤ模組,而後進行步驟1〇8。 這樣的一個實施例,可保護在製造中的閘極結構,避免因 為暴露在製造環境中的一個非真空環境中,而導致污染, 並且可以增加製造閘極結構的生產率。 在第一時間區間110時,此聚合殘留物層207會從閘 極電極216之侧壁205、閘極介電層204的表面203、以 及其他在閘極電極堆疊202與晶圓200上的其他位置(圖 2D)。在一範例實施例中,此第一時間區間11()使用等向 性電漿蝕刻製程(Isotropic Plasma Etch)包括一混合氣體。 此混合氣體包括含有碳氟化合物之氣體(例如四氟化碳 CF4、三氟曱烧(Trifluoromethane,“CHF3”)、氟乙烧 (Fluoroethane,“C2F6”)、以及類似的氣體),以及最少含 13574pif.doc 14 有一種惰性氣體(例如氮Nr氬Ar、氖Ne、或其他類似 的氣體)。等向性電漿蝕刻製程通常使用一種高密度電漿 來源(例如感應式耦合電漿來源(Inductivdy C〇upied Plasma Source)、提高的基底溫度(例如攝氏200度)、以及 少許的基底偏壓(例如不大於30瓦)或是不需要(也就是〇 瓦)。 在一說明的實施例中,此此聚合殘留物層2〇7是使用 DPS-ΗΤ模組移除。此DPS-ΗΤ模組可提供具有流速2〇 到200 seem的四默化碳eh、具有流速5到1〇〇 s(xm的 氮(A)(換吕之’四鼠化碳:氮之流速比例可從U到々ο」)、 提供一介於200到2000瓦(W)的電源給感應式耦合天線、 提供一不大於30瓦之陰極偏壓功率、以及維持晶圓在腔 體介於2到50 mTorr壓力下的溫度在攝氏2⑻度到35〇 度之間在例子中,知:供具有流速1〇〇 seem的四氟化 石反CF4、具有流速20 sccm的氮(Nj(換言之,四氟化碳:氮 之流速比例為5:1)、提供麵瓦(w)的電源給感應式輕合 天線、提供G瓦之陰極偏壓功率、以及維持晶圓在腔體之 溫度在攝氏350度,而壓力為4 mT〇rr。這樣的製程條件 可針對殘留物(聚合殘留物層_對於二氧化铪(Ηί〇2χ也 f是閘極介電層2Q4)提供—制選擇比(Eteh Selectivity) 表^、在50.1而且對於多晶石夕(閘極電極216)與二氧化石夕 (SK)2)(也就是圖案化光罩214)提供一蝕刻選擇比分別最少 在1:1與l:Wb第-時間區間11Q通常約在1g_15秒 間。 13574pif.doc 15 Μ在第一時間區間112内,此閘極介電層204在區域222 2將被—移除’藉以在區域22〇形成一問極結構24〇(圖 、、曰人^範例之實施例中,在此第二時間區間112使用 f體匕括含鹵素氣體(例如氣(Cl2)、溴化氯(Hydrogen oride ’ HC1”)、三氣化石朋(B〇r〇n Trichl〇ride,“BC13”)、 乂及其他類似氣體)伴隨著還原氣體(例如一氧化碳(Carb⑽ onoxide ’ “CQ”)、氧氣(〇xygen,“◦,)以及其他類似等 )用^钱刻咼”黾#數之閘極介電層204内之二氧化 铪(Hf〇2)。此第二時間區間112是以圖案化光罩Μ#當成 餘刻光罩,喊底所含有的物f(例㈣)則當成姓刻 終止層。 在一選擇實施例中,此包含二氧化铪(Hfc^之閘極介 電層204使用DPS-ΗΤ模組完成蝕刻。此別^町模組是 使用混合氣體,包括具有流速2到 的氯㈣、 具有流速2到200 seem的一氧化碳(c〇)(例如,氣·一氧化 ^,)^^,00 ,1 3000 瓦(W)的電源給感應式輕合天線、提供一介於〇到3⑻瓦 之間之陰極偏壓功率、以及維持晶圓在腔體介於2到1〇〇 mT〇rr壓力下的溫度在攝氏200度到35〇度之間。在一例 子中,提供具有流速40sccm的氯(Ci2)、具有流速4〇sccm 的一氧化碳(co)(例如,氯:一氧化碳之流速比例為1:1)、 提供1100瓦(W)的電源給感應式耦合天線、提供2〇瓦之 陰極偏壓功率、以及維持晶圓在腔體介於4 mT〇rr壓力下 的溫度在攝氏350度。這樣的製程條件可針對二氧化於 13574pif.doc 16 200428658 (HfO) 擇比取夕在3:1,而且二氧化姶 刻選==4)對於初(光罩214)提供, 240中US中’圖案化光罩214選擇性地從閘極結構 中移除(圖2F)。而移除圖案化光罩214之製程則已經
π 與本案的申請人所申請的美國專利申請案中介紹 了 ’例如’在申請號為體45,130而申請日為2〇〇2年9 月/6日(代理人號碼為7524)之美國專射請案,以及申 請號為10/338,251而申請日為2〇〇3年j月6日(代理人號 碼為7867)之美國專利申請案,在此一併列入參考。 在步驟116中’此順序100就此結束。 圖3係說明可用在本發明實際應用之範例之Dec,ied Plasma Souixepps)^⑽反應器或是絲電漿來源高溫 (DPS-ΗΤ)餘刻反應器3〇〇之示意圖。此Dps π與Dps_ HT蝕刻反應器一般使用在CENTURA®系統之製程模組, 而其可經由美國加州聖塔克拉納之運用材料公司(AppUed Materials,Inc·)取得。 此反應态300包括一製程腔體(pr〇cess chamber)31〇具 有一導電主體(牆(Wall))330以所具有的一晶圓支架底座 (Wafer Support Pedestal)316,以及一控制器 34〇。 此腔體310提供一大致上平面的介電天花板(Didectric
Ceiling)320(例如 DPS II 與 DPS-ΗΤ 模組)。腔體 31〇 其他 的變動也可能是有其他種類的天花板,例如,一種圓屋頂 13574pif.doc 17 200428658 形狀(Dome-Shaped)天花板(例如DPS Plus模組)。在此天 花板320之上配置一個天線(Antenna)至少包括一感應線 圈(Inductive Coil)元件312(如圖所示之兩個同軸元件 312)。此感應線圈元件312經由一第一匹配網路(Matching Network)319輕接到一電聚功率來源(Plasma Power Source)318。此電漿功率來源318傳統上在一調整頻率介 於 50 千赫茲(50k Hertz)到 13.56 百萬赫茲(13.56M Hertz) 之範圍内,能夠產生高達3000瓦(W)之功率。 此支架底座(陰極)316係經由一第二匹配網路(Matching Network)324麵接到一偏壓功率來源(Bias Power Source)322。此偏壓功率來源322 —般而言能夠在將近 13.56百萬赫兹(13.56M Hertz)之頻率下產生高達5〇〇瓦(W) 的功率。此偏壓功率可以是持續的或是脈波式(Pulsed)地 提供功率。在一其他實施例中,此偏壓功率來源322可以 是一直流電源或是一脈波式的直流電源。 此控制器340包括一中央處理單元(CPU)344、一記憶 體342、以及一用以支援中央處理單元344之一支援電路 (Support Circuit)346,並用以協助在對腔體310内元件的 控制’並且就其本身而5疋為了協助在姓刻製程中的控 制,底下將會進一步討論。 在操作時’半導體晶圓314放置在底座316上,並且 由氣瓶櫃(Gas Panel)338提供的製程氣體經由進入埠(Entry Port)326進入以形成^一氣體混合物(Gaseous Mixture)350。 此氣體混合物350藉由電漿功率來源318以及偏壓功率來 13574pif.doc 18 200428658 源322分別提供給感應線圈元件312與陰極316之功率, 而激化(Ignited)成為在腔體310内的電漿355。此在腔體 310内部的壓力係使用一節流閥(τ1ΐΓ〇1;1;ιε vaivep27以及一 真空泵(VacuumPump)336所控制。傳統上,此腔體牆330 是連接到一電子接地極334。而牆330的溫度則是使用經 由牆330配置的内含液態之導管(圖示上未顯示)所控制。 牆330溫度的控制是為了穩定支架底座316的溫度。 再一實施例中,氦氣(He)由一氣體來源348經由氣體導管 (Gas Conduit)349傳送到在晶圓314底下形成於支架底座 316表面之通道(未顯示)内。此氦氣用來促進底座與 晶圓314之間的熱移轉。在製造過程中,此底座316可經 由在底座内的一電阻式加熱器(Resistive Heater)(未顯示) 來加熱,以達到一穩定狀態的溫度,並且接著此氦氣則可 加速晶圓314溫度的一致性(uniform)。使用這樣的控制, 此晶圓314可維持在若是DPS II模組則是介於大約攝氏 20度到80度的溫度,而若是DPS_HT模組則是介於大約 攝氏200度到350度的溫度。 熟知此技藝之人士皆知其他的钱刻腔體也可用來達成 此發明,包括具有遠端電漿來源的腔體、電子迴旋共振 (ECR)電漿:腔體,或者其他類似等等。 為了協助腔體310如上所述的控制,此控制器可 以由任何形式之一般用來控制不同類型腔體之工業設定之 電腦處理器或是次處理器(Sub-Pr〇cessor)所達成。而中央 處理單元(CPU)344的記憶體342,或是電腦可讀取媒介 13574pif.doc 19 200428658 (Medium),可以是一個或是多個目前可取得之記憶體, 例如隨機存取記憶體(RAM)、唯讀記憶體(R〇M)、軟式磁 碟(Floppy Disk)、或其他任何以區域或是遠端數位方式儲 存之媒體。而支援電路346連接到中央處理單元 (CPU)344,並以傳統之方式協助處理器。而這些電路包 括快速緩衝貯存區(Cache)、電源供應器、時脈⑹响電 路、輸出/輸入電路以及次系統(Subsystem),以及其他類 似的電路。本發明之方法通常儲存在記憶冑如内當成軟 體的例行程序(Routine)。此軟體程序也可由一第二個中央 處=單兀CPU(未顯示)所儲存及/或執行,而其可經設置 於遠端的硬體中,但;^由中央處理單元(cpu)344所控制。 圖^疋說明一表4〇〇,用以總結在此所述使用Dps_H丁 反應為,等向性電漿姓刻製程之製程參數。總結在攔搬 1製,數是本發明上述的内容巾之—範例實施例。此製 私=範圍則出現在攔404中。用以移除聚合殘留物層2〇7 的範例製私參數是在欄4%中出現。然而可以理解的是, 使用不同的電漿_反應器可能f要不同的製程參數值與 範圍。 本發明可使用其他的半導體晶圓製程系統所實現,其 中之製程參數可錄據在此所描狀技術而雜,以達到 热白此技藝之人士所能接受之特性,此仍不脫離本發明之 精神。 雖然上述的討論僅提出關於場效電晶體之製造,然而 使用在積體電路内之其他元件與結構之製造以可從本發明 13574pif.doc 20 200428658 中獲得相當好的效果。 【圖式簡單說明】 圖1 口兒月根據本發明_實施例之用以製造電晶體閑極 結構之方法之流程圖。 圖2A_2F心兄明-系列之根據圖j之方法製造具有問 極結構的基底,其剖面示意圖。 圖3係况明可用以進行本發明之方法之範例電漿製程 裝置之示意圖。 圖4疋一表單用以總結當實際使用圖3之裝置時,本 發明方法之範例實施例之製程參數。 為協助更了解本發明,將盡可能使用相同之標號 (Reference Number)說明在圖示中所顯示之相同元件。 值得注意的是,附加圖示僅用以說明本發明之範例實 施例’因此並未用以考慮作為限制本發明之範圍,因為本 發明可適用於其他具有相同效用的實施例中。 【主要元件符號說明】 2〇2 閘極電極堆疊(Gate Structure Stack) 200基底 232 已摻雜源極區域(Doped Source Region) 234已摻雜汲極區域(Doped Drain Region) 236 通道區域(Channel Region) 202閘極電極堆疊 201阻障層 206閘極電極層 13574pif.doc 21 200428658 204閘極介電層 220區域 214圖案化光罩 222鄰近區域 221 光學反反射(Optical anti-reflective)層 206閘極電極 207 聚合殘留物(p〇lymeric residues)層 205 側壁(Sidewall) 211角落(Corner)區域 240閘極結構 300反應器 310 製程腔體(Process Chamber) 316 晶圓支架底座(Wafer Support Pedestal) 312感應線圈(inductive Coil)元件 314半導體晶圓 316支架底座(陰極) 318 電聚功率來源(piasma p〇wer Source) 319 弟一匹配網路(Matching Network) 320 介電天花板(Dielectric Ceiling) 322 偏壓功率來源(Bias Power Source) 324 弟二匹配網路(Matching Network) 326 進入埠(Entry Port) 327 節流閥(Throttle Valve) 330導電主體(牆(Wal⑺ 13574pif.doc 22 200428658 334電子接地極 3 3 6 真空栗(Vacuum Pump) 338 氣瓶櫃(Gas Panel) 340控制器 342記憶體 344中央處理單元 346 支援電路(Support Circuit) 348氣體來源 349 氣體導管(Gas Conduit) 3 5 0 氣體混合物(Gaseous Mixture) 355電漿 13574pif.doc 23

Claims (1)

  1. 200428658 十、申請專利範圍: 1·一種移除姓刻後之殘留物之方法,包括·· ⑻k供具有一多晶石夕層形成於一含給(Hafnium-containing)層 上之一 基底; (b)形成一圖案化光罩在該多晶矽層上; ⑻電漿钱刻該多晶梦層,其中—聚合殘留物沉積在該 基底上;以及 ㈧便用一電漿包括 a … 诞或多個含碳氟化合物 (FlU〇_!We_aining)氣體移除該聚合殘留物。 、、二:請專利範圍f 1項之移除蝕刻後之殘留物之方 / ^二·· ί含铪層包括從—群組所選擇之一種物質,該群 ϋ。:氧化給(Hf〇2)、二氧化石夕給(Hfsi〇2)與氮氧化給 (HilSlUJN) 〇 利範圍第1項之移除蝕刻後之殘留物之方 ί所;:i(d)中之該含碳敦化合物氣體包括從-群 (CF广一,或多種物質’該群組包括包括四氟化碳 (CF4)、:⑽邮HF3)與氟乙 ',、中在ッ驟(d)中之該含碳氟化人物ϋ俨,14^+兮 ?炎合殘留物對該含钤禺乱女a鼠化口物轧體,其針對5亥 至少在約50:1。 有一爛選擇比(EtchSelecti_) 5 ·如申請專利範圍 法,其中在步驟(:中二項 聚合殘留物對多㈣層具有_二=^^針對该 13574pif.doc 24 、6·如申請專利範圍第1項之移除蝕刻後之殘留物之方 去’其中步驟(d)是在一基底溫度介於攝氏200度到350 度之間進行。 、7·如申請專利範圍第1項之移除蝕刻後之殘留物之方 法’其中步驟(d)包括: 山提供一四氟化碳(CFO與氮(N2),其中所提供之四氟化 兔對氮之流速比例從1:5到40:1之範圍; 維持該基底之溫度在介於攝氏約2〇〇度到350度之範 圍; 提供介於200到2000瓦(W)的功率給一感應式耦合天 線; 提供一不大於約30瓦(W)之陰極偏壓功率;以及 維持一腔體壓力介於約2到50 mT〇rr之壓力。 8·—種製造一場效電晶體之閘極結構之方法,包括: (a) 提供一基底,具有定義在該基底上之多數個電晶體 接面(Transistor Junction),而該些電晶體接面上具: 極電極層形成在一閘極介電層上; 、 甲 (b) 形成一圖案化光罩用以在該閘極電極層上一 極結構; 我一閣 (c) 電漿蝕刻該閘極電極層,以便定義該閘極結 豆 中一聚合殘留物沉積在該基底上; Q ’、 ⑹使用一電漿包括一個或多個含碳氣化合物 (Fluorocarbon-containing)氣體移除該聚合殘留物;以^ (e)電漿蝕刻該閘極介電層以定義該閘極結構。 25 13574pif.doc 9·如申請專利範圍第8項之 一γ 結構之方法,其中該閘極介電層包括從極 種物質,該群組包括二氧化於(腦)、—鮮組所遠擇之一 與氮氧化給(H_)。 ( 2)—魏雜(_2) 第8項之製造—場效電晶體之問極 、、、°構之方法,其中該閘極電極層包括多晶石夕。 11·如申請專利範圍第8項之製造_場效 結==中?,e)是在同-腔體内^ 社構之方7 :T!r圍第8項之製造—場效電晶體之問極 驟(d)中之該含碳氟化合物氣體包括 ) 種或多種物f,該群祕括包括四氟 反(4) 一氟甲烷(CHF3)與氟乙烷(C2f6)。 如中請專利範圍第8項之製造—場效電晶體之間極 二構之方法,其中在步驟(d)中之該含碳氟化合物氣體, 其針對該聚合殘留物賴閘極介電層具有-則選擇比 (Etch Selectivity)至少在約 5〇:1。 14.如申請專利範圍第8項之製造一場效電晶體之閉極 結構之方法,其中在步卵)巾之該含碳氟化合物氣體, 其針對該聚合殘留物對閘極電極層具有—#刻選擇比至少 1:卜 15·如申請專利範圍第8項之製造_場效電晶體之間極 結構之方法,其中該含碳氟化合物氣體至少包含一種惰性 氣體’該惰性氣體是從—群組所選擇之_種氣體,該群組 包括氮(N2)、氬(Ar)以及氖(Ne)。 13574pif.doc 26 16·如申請專利範圍第8項之製造一場效電晶體之閘極 結構之方法’其中步驟(d)是在一基底溫度介於攝氏200 度到350度之間進行。 17·如申請專利範圍第8項之製造一場效電晶體之閘極 結構之方法,其中步驟(d)包括: 提供一四氟化碳(CF4)與氮(N2),其中所提供之四氟化 碳對氮之流速比例從1:5到40:1之範圍。 18·如申請專利範圍第8項之製造一場效電晶體之閘極 結構之方法,其中步驟(d)包括: 提供一四氟化碳(CF4)與氮(N2),其中所提供之四氟化 碳對氮之流速比例從1:5到40:1之範圍; 維持該基底之溫度在介於攝氏約200度到350度之範 圍; 提供介於200到2000瓦(W)的功率給一感應式耦合天 線; 提供一不大於約30瓦(W)之陰極偏壓功率;以及 維持一腔體壓力介於約2到50 mTorr之壓力。 19 -種電腦可讀取媒介(CGmputer_Readable Me—) 包括-軟體用以在-電腦上執行,而可用以使—半導體晶 ^製程系統使用該方法製造—場效電晶體之問極結構,包 ⑷提供—絲’具有定義在該基底上之多數個電晶體 妾面(Transistor J福ion),_些電晶體接面上具有一問 極電極層形成在一閘極介電層上· 13574pif.doc 27 200428658 極成一圖案化光罩用以在該間極電極層上定義一間 (C)電衆韻刻該間極電極層,以便定義朗極 中一聚合殘留物沉積在該基底上; '口構、 (d)使用一電漿包括一個或多個… (Fki〇rocarbon_c她論g)氣體移除該聚合殘留^ 】物 蝕刻該間極介電層以定義輪 ’ 20.如申請專利範園第19項之電腦可结 該間極介電層包括從一群組 ^ ^ ’其中 括二氧化給卿2)、二氧化:夕擇二::娜 (HfSiON)。 、°阳⑸02)與鼠氧化銓 21·如申請專利範圍第19項 步驟⑷與⑹是在同-腔體内依岸„買取媒介’其中 22.如申請專利_ ^序元成。 步驟(d)中之該含碳氟化合讀取媒介,其中 一種或多種物質,該群纟且勺=體包括徒一群組所選擇之 甲烧(卿3)與氟乙烧(⑽⑼包括四氟化碳(cf4)、三氟 23·如申請專利範圍第19 在步驟(d)中之該含碳氣化、,電腦可讀取媒介’其中 物對該閘極介電層且有~氣體’其針對該聚合殘留 少在約50:1。 、 刻選擇比(Etch Selectivity)至 24.如申請專利範 在步驟(Φ中之該含碳氟化合^之電腦可讀取媒介,其中 物對閘極電極層具有刻冑I體’I其針對該聚合殘留 13574pif.doc 28 200428658 25.如申請專利範圍第19項之電腦可讀取媒介,其中 步驟(d)是在一基底溫度介於攝氏200度到350度之間進 行0 13574pif.doc 29
TW093110641A 2003-04-17 2004-04-16 Method for fabricating a gate structure of a field effect transistor TW200428658A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/418,995 US20040209468A1 (en) 2003-04-17 2003-04-17 Method for fabricating a gate structure of a field effect transistor

Publications (1)

Publication Number Publication Date
TW200428658A true TW200428658A (en) 2004-12-16

Family

ID=32908366

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093110641A TW200428658A (en) 2003-04-17 2004-04-16 Method for fabricating a gate structure of a field effect transistor

Country Status (7)

Country Link
US (1) US20040209468A1 (zh)
EP (1) EP1469510A3 (zh)
JP (1) JP2004336029A (zh)
KR (1) KR20040090931A (zh)
CN (1) CN1538504A (zh)
SG (1) SG115676A1 (zh)
TW (1) TW200428658A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI420601B (zh) * 2005-02-25 2013-12-21 Freescale Semiconductor Inc 製造一氮化閘極介電層之方法

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723242B2 (en) * 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
KR101037308B1 (ko) * 2003-05-30 2011-05-27 도쿄엘렉트론가부시키가이샤 고-k 유전성 재료 에칭 방법 및 시스템
US20050153563A1 (en) * 2004-01-14 2005-07-14 Lam Research Corporation Selective etch of films with high dielectric constant
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
JP4671729B2 (ja) * 2005-03-28 2011-04-20 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US20070190795A1 (en) * 2006-02-13 2007-08-16 Haoren Zhuang Method for fabricating a semiconductor device with a high-K dielectric
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
US7902018B2 (en) 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US7776696B2 (en) * 2007-04-30 2010-08-17 Spansion Llc Method to obtain multiple gate thicknesses using in-situ gate etch mask approach
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
US20090096001A1 (en) * 2007-10-15 2009-04-16 Qimonda Ag Integrated Circuit and Method of Manufacturing the Same
JP5072531B2 (ja) * 2007-10-24 2012-11-14 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
TWI421919B (zh) * 2008-07-24 2014-01-01 Lam Res Corp 藉由順序施加化學品以進行半導體基板之表面處理的方法與設備
JP5250476B2 (ja) * 2009-05-11 2013-07-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8368125B2 (en) 2009-07-20 2013-02-05 International Business Machines Corporation Multiple orientation nanowires with gate stack stressors
US20110012177A1 (en) * 2009-07-20 2011-01-20 International Business Machines Corporation Nanostructure For Changing Electric Mobility
US8871107B2 (en) * 2013-03-15 2014-10-28 International Business Machines Corporation Subtractive plasma etching of a blanket layer of metal or metal alloy
DE102013223490B4 (de) * 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
US9570319B2 (en) * 2014-05-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US9793273B2 (en) * 2014-07-18 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-based semiconductor device including a metal gate diffusion break structure with a conformal dielectric layer
KR102333699B1 (ko) * 2014-12-19 2021-12-02 에스케이하이닉스 주식회사 고유전 금속 게이트스택의 에칭 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5895245A (en) * 1997-06-17 1999-04-20 Vlsi Technology, Inc. Plasma ash for silicon surface preparation
JP3524763B2 (ja) * 1998-05-12 2004-05-10 株式会社日立製作所 エッチング方法
JP2000150678A (ja) * 1998-11-10 2000-05-30 Mitsubishi Electric Corp 不揮発性半導体記憶装置およびその製造方法
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
TW525223B (en) * 1999-12-14 2003-03-21 United Microelectronics Corp Method for removing photoresist and residual polymer from polysilicon gate
EP1171908A1 (en) * 2000-01-19 2002-01-16 Philips Semiconductors Inc. Method for removing residues with reduced etching of oxide
JP4056195B2 (ja) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6303482B1 (en) * 2000-06-19 2001-10-16 United Microelectronics Corp. Method for cleaning the surface of a semiconductor wafer
TW449929B (en) * 2000-08-02 2001-08-11 Ind Tech Res Inst Structure and manufacturing method of amorphous-silicon thin film transistor array
US6455330B1 (en) * 2002-01-28 2002-09-24 Taiwan Semiconductor Manufacturing Company Methods to create high-k dielectric gate electrodes with backside cleaning
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI420601B (zh) * 2005-02-25 2013-12-21 Freescale Semiconductor Inc 製造一氮化閘極介電層之方法

Also Published As

Publication number Publication date
US20040209468A1 (en) 2004-10-21
KR20040090931A (ko) 2004-10-27
EP1469510A3 (en) 2005-04-13
JP2004336029A (ja) 2004-11-25
EP1469510A2 (en) 2004-10-20
SG115676A1 (en) 2005-10-28
CN1538504A (zh) 2004-10-20

Similar Documents

Publication Publication Date Title
TW200428658A (en) Method for fabricating a gate structure of a field effect transistor
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
TWI637442B (zh) 圖案化氮化矽介電膜之方法
US8501626B2 (en) Methods for high temperature etching a high-K material gate structure
TWI323487B (en) Plasma etching method
KR101411744B1 (ko) 하프늄 함유층의 에칭 방법 및 플라즈마 처리 시스템
US20120083127A1 (en) Method for forming a pattern and a semiconductor device manufacturing method
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US6855643B2 (en) Method for fabricating a gate structure
TW200947154A (en) Method of forming thin film pattern for semiconductor device and apparatus for the same
JP5264834B2 (ja) エッチング方法及び装置、半導体装置の製造方法
TW200839866A (en) Methods for recess etching
US20060060565A9 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
JP5223364B2 (ja) プラズマエッチング方法及び記憶媒体
US6027959A (en) Methods for in-situ removal of an anti-reflective coating during a nitride resistor protect etching process
US6350699B1 (en) Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry
US6066567A (en) Methods for in-situ removal of an anti-reflective coating during an oxide resistor protect etching process
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor
US20040132311A1 (en) Method of etching high-K dielectric materials
JP4282391B2 (ja) 半導体装置の製造方法
JPH0629263A (ja) 半導体装置の製造方法