KR960002631A - 플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합한 장치 및 그 방법 - Google Patents

플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합한 장치 및 그 방법 Download PDF

Info

Publication number
KR960002631A
KR960002631A KR1019950017440A KR19950017440A KR960002631A KR 960002631 A KR960002631 A KR 960002631A KR 1019950017440 A KR1019950017440 A KR 1019950017440A KR 19950017440 A KR19950017440 A KR 19950017440A KR 960002631 A KR960002631 A KR 960002631A
Authority
KR
South Korea
Prior art keywords
processing chamber
plasma processing
antenna
housing
plasma
Prior art date
Application number
KR1019950017440A
Other languages
English (en)
Other versions
KR100373815B1 (ko
Inventor
프라모드 파란즈페 아지트
제이. 데이비스 세실
티. 매튜스 로버트
Original Assignee
윌리엄 이.힐러
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윌리엄 이.힐러, 텍사스 인스트루먼츠 인코포레이티드 filed Critical 윌리엄 이.힐러
Publication of KR960002631A publication Critical patent/KR960002631A/ko
Application granted granted Critical
Publication of KR100373815B1 publication Critical patent/KR100373815B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

유도 결합 플라즈마 소스(ICP;12)가 장착된 플라즈마 처리 챔버(10)를 개시하였다. ICP 소스(12)는 에폭시(16)로 캡슐화된 안테나(14)를 에워싸는 하우징(18)을 포함한다. 안테나(14)와 에폭시(16)은 플라즈마 형성영역(30)으로부터 밀폐되어 있다. 안테나(14)는 최소한 하나의 RF 정합 회로(42)를 통해 최소한 하나의 RF 전원 공급기(40)으로부터 전원을 공급 받는다. 유전체 덮개판(28)은 ICP 소스(12)와 플라즈마 형성 영역(30)을 분리시키며, 처리 가스를 균일하게 분사하기 위한 샤워 장치로서 다수의 구멍을 갖는다.

Description

플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합한 장치 및 그 방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 플라즈마 소스를 사용하는 플라즈마 처리 챔버의 개념적 부분 단면도,
제2도는 플라즈마 소스에 인접하여 원뿔형 유전체 덮개판을 포함하는 다른 구성도.

Claims (23)

  1. 플라즈마 처리 장치에 있어서, 처리 챔버; 및 상기 처리 챔버에 장착된 유도 결합 플라즈마 소스를 포함하며 상기 유도 결합 플라즈마 소스는 밀폐봉입되어 캡슐화된(hermetically sealed encapsulated) 안테나를 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 캡슐화된 안테나를 에워싸는 구조적으로 단단한 하우징을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제1항에 있어서, 상기 안테나는 편평한 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 캡슐화된 안테나의 제1면상에 유전체 덮개판을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제1항에 있어서, 상기 캡슐화된 안테나에 접속되는 하나 또는 그 이상의 정합 회로 및 상기 캡슐화된 안테나에 RF 전원을 공급하기 위하여 상기 정합 회로에 접속되는 하나 또는 그 이상의 RF 전원 공급기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제1항에 있어서, 상기 처리 챔버 내에 위치한 웨이퍼를 지지하기 위한 척(chuck); 정합 회로를 통해 상기 척에 접속되는 제1RF 전원 공급기; 및 상기 척용 상기 제1RF 전원 공급기와 상기 안테나에 접속된 제2RF전원 공급기 사이에 접속되는 위상 전이기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제2항에 있어서, 상기 하우징의 후면과 인접 측면에 플라즈마가 형성되는 것을 방지하기 위하여 상기 하우징의 제1측면 이외의 부분을 에워싸는 전도성 차폐막을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제1항에 있어서, 상기 처리 챔버의 측벽상에 위치한 다수의 다극 자석을 더 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  9. 플라즈마 처리 챔버에 있어서, 상기 플라즈마 처리 챔버의 내벽에 부착되는 유도 결합 플라즈마 소스를 포함하며; 상기 유도 결합 플라즈마 소스가, 에폭시에 캡슐화된 나선형(spiral) 안테나; 상기 에폭시를 에워싸는 구조적으로 단단한 하우징; 및 다수의 구멍을 갖는 유전체 덮개판을 포함하며, 상기 에폭시와 안테나는 밀폐봉입되며, 상기 유전체 덮개판은 상기 하우징의 제1면에 인접하는 위치하는 것을 특징으로 하는 플라즈마 처리 챔버.
  10. 제9항에 있어서 상기 나선형 안테나가 상기 플라즈마 처리 챔버의 상기 내벽을 관통하여 연장된 다수의 리드선(leads)을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  11. 제10항에 있어서; 상기 다수의 리드선에 접속되는 최소한 하나의 정합 회로; 및 상기 나선형 안테나에 RF 전원을 공급하기 위하여 상기 최소한 하나의 정합 회로에 접속되는 최소한 하나의 RF 전원 공급기를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  12. 제5항 또는 제11항에 있어서, 상기 최소한 하나의 RF 전원 공급기가 주-종 구성으로 접속된 주 전원 공급기와 하나 또는 그 이상의 종 전원 공급기를 포함하며, 상기 주-종 구성에는 상기 주 전원 공급기와 상기 하나 또는 그 이상의 종전원 공급기와의 사이에 위치한 위상 전이기가 포함되는 것을 특징으로 하는 플라즈마 처리 챔버.
  13. 제4항 또는 제9항에 있어서, 상기 유전체 덮개판의 외형이 등고선형(contoured)을 이루는 것을 특징으로 하는 플라즈마 처리 챔버.
  14. 제1항 또는 제9항에 있어서, 상기 안테나의 외형이 등고선형을 이루는 것을 특징으로 하는 플라즈마 처리 챔버.
  15. 제2항 또는 제9항에 있어서, 상기 하우징의 상기 제1면에 위치하는 최소한 하나의 전기적 전도성 링(ring)을 더 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  16. 제1항 또는 제9항에 있어서, 상기 안테나 캐패시터 및 절연체에 의하여 서로 분리되는 다수의 코일 분절(segments)을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  17. 플라즈마 처리 챔버내에 유도 결합 플라즈마 소스를 결합시키는 방법에 있어서, 웨이퍼를 지지하기 위한 척(chuck)을 포함하는 플라즈마 처리 챔버를 제공하는 단계; 에폭시로 나선형 안테나를 캡슐화시키는 단계; 구조적으로 단단한 하우징으로 상기 안테나와 에폭시를 에워싸는 단계; 상기 에폭시를 밀폐봉입시키는 단계; 상기 플라즈마 처리 챔버의 내벽에 상기 하우징을 부착하는 단계; 및 상기 하우징의 제1면에 유전체 덮개판을 제공하는 단계를 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  18. 제17항에 있어서, 상기 나선형 안테나에 RF 전원을 공급하는 단계; 및 플라즈마를 형성시키기 위하여 상기 플라즈마 처리 챔버에 최소한 하나의 처리 가스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  19. 제18항에 있어서, 상기 나선형 안테나에 공급되는 RF 전원을 시변조(time modulating)하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  20. 제17항에 있어서, 상기 하우징과 상기 척 사이의 간격을 조정하기 위하여 상기 하우징과 상기 내벽 사이에 스페이서(spacer)를 배치시키는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합방법.
  21. 제17항에 있어서, 상기 유전체 덮개판의 외형이 등고선형을 이루게 하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  22. 제17항에 있어서, 상기 나선형 안테나의 외형이 원뿔형을 이루도록 하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  23. 제17항에 있어서, 상기 하우징의 상기 제1면에 전기적 전도성 판을 위치시키는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019950017440A 1994-06-30 1995-06-26 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법 KR100373815B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/269,414 US5580385A (en) 1994-06-30 1994-06-30 Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US08/269,414 1994-06-30

Publications (2)

Publication Number Publication Date
KR960002631A true KR960002631A (ko) 1996-01-26
KR100373815B1 KR100373815B1 (ko) 2003-05-01

Family

ID=23027137

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950017440A KR100373815B1 (ko) 1994-06-30 1995-06-26 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법

Country Status (6)

Country Link
US (2) US5580385A (ko)
EP (1) EP0690666B1 (ko)
JP (1) JPH08195297A (ko)
KR (1) KR100373815B1 (ko)
DE (1) DE69504254T2 (ko)
TW (1) TW501842U (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101313705B1 (ko) * 2005-06-24 2013-10-01 주성엔지니어링(주) 저온 폴리 실리콘의 증착방법 및 이를 위한 플라즈마발생장치

Families Citing this family (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5897712A (en) * 1996-07-16 1999-04-27 Applied Materials, Inc. Plasma uniformity control for an inductive plasma source
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
KR100505176B1 (ko) 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
JP3367077B2 (ja) * 1997-10-21 2003-01-14 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP2002525866A (ja) * 1998-09-22 2002-08-13 アプライド マテリアルズ インコーポレイテッド 内部誘導コイルアンテナ及び導電性チャンバ壁を有するrfプラズマエッチング反応器
US6440220B1 (en) * 1998-10-23 2002-08-27 Goodrich Corporation Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6634313B2 (en) 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
KR100396214B1 (ko) * 2001-06-19 2003-09-02 주성엔지니어링(주) 초단파 병렬 공명 안테나를 구비하는 플라즈마 공정장치
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
TWI306311B (en) * 2002-06-21 2009-02-11 Sanyo Electric Co Thin film transistor and method for producing thin film transistor
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
DE10308539B3 (de) * 2003-02-27 2004-06-03 Bauer Maschinen Gmbh Fräsvorrichtung zum Fräsen von Schlitzen im Boden
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
JP4671361B2 (ja) * 2004-03-26 2011-04-13 日新電機株式会社 プラズマ発生装置
KR20060073737A (ko) * 2004-12-24 2006-06-29 삼성전자주식회사 플라즈마 장치
KR100721572B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
KR100721573B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
CN100372075C (zh) * 2005-04-15 2008-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种电感耦合等离子体装置
US7591232B2 (en) * 2006-03-31 2009-09-22 Tokyo Electron Limited Internal coil with segmented shield and inductively-coupled plasma source and processing system therewith
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
JP5215685B2 (ja) * 2008-02-14 2013-06-19 三井造船株式会社 原子層成長装置
US9378930B2 (en) * 2009-03-05 2016-06-28 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
US20140288850A1 (en) * 2011-10-30 2014-09-25 Paskal Technologies Agriculture Cooperative LTD. Self-learning of plant growth strategy in a greenhouse
RU2503079C1 (ru) * 2012-04-24 2013-12-27 Евгений Владимирович Берлин Генератор плазмы (варианты)
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN112334599B (zh) * 2018-06-25 2023-09-29 东芝三菱电机产业系统株式会社 活性气体生成装置及成膜处理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2023504044A (ja) 2019-12-02 2023-02-01 ラム リサーチ コーポレーション 無線周波数支援プラズマ生成におけるインピーダンス変換
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2085482B (en) * 1980-10-06 1985-03-06 Optical Coating Laboratory Inc Forming thin film oxide layers using reactive evaporation techniques
US4675690A (en) * 1984-05-25 1987-06-23 Revlon, Inc. Conical spiral antenna
JPS62133724A (ja) * 1985-12-06 1987-06-16 Toshiba Corp バイアススパツタ薄膜の製造方法
US5036252A (en) * 1988-04-26 1991-07-30 Hauzer Holding Bv Radio frequency ion beam source
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE4022708A1 (de) * 1990-07-17 1992-04-02 Balzers Hochvakuum Aetz- oder beschichtungsanlagen
US5200595A (en) * 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101313705B1 (ko) * 2005-06-24 2013-10-01 주성엔지니어링(주) 저온 폴리 실리콘의 증착방법 및 이를 위한 플라즈마발생장치

Also Published As

Publication number Publication date
EP0690666A1 (en) 1996-01-03
EP0690666B1 (en) 1998-08-26
DE69504254T2 (de) 1999-04-08
DE69504254D1 (de) 1998-10-01
KR100373815B1 (ko) 2003-05-01
JPH08195297A (ja) 1996-07-30
TW501842U (en) 2002-09-01
US5591493A (en) 1997-01-07
US5580385A (en) 1996-12-03

Similar Documents

Publication Publication Date Title
KR960002631A (ko) 플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합한 장치 및 그 방법
US6060836A (en) Plasma generating apparatus and ion source using the same
KR102195744B1 (ko) 용량 결합 플라즈마 식각 장치
KR930005132A (ko) 플라즈마 처리장치 및 방법
KR960026342A (ko) 플라즈마처리 장치와 플라즈마처리 방법
KR960026343A (ko) 플라즈마 처리장치 및 플라즈마 처리방법
KR19980071217A (ko) Hdp-cvd 챔버용 플라즈마 소오스
KR960002626A (ko) 플라즈마 점화를 향상시키기 위한 전극을 가지는 유도 결합된 플라즈마 반응로
JPH0478133A (ja) プラズマ処理装置
EP0830577A4 (en) MAGNETIC PRESSURE CONVERTER
KR970077336A (ko) 플라즈마 처리 장치 및 처리 방법
KR20200075764A (ko) 용량 결합 플라즈마 식각 장치
KR100798352B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
US5543688A (en) Plasma generation apparatus with interleaved electrodes and corresponding method
KR950027912A (ko) 마이크로파 플라즈마 처리 장치 및 방법
CN214152845U (zh) 等离子体刻蚀设备
KR100862685B1 (ko) 다중 배열된 방전실을 갖는 플라즈마 반응기 및 이를이용한 플라즈마 처리 시스템
KR101046191B1 (ko) 플라즈마 처리 장치
JP3730754B2 (ja) プラズマ処理装置
KR20080028848A (ko) 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
KR100845917B1 (ko) 대면적 플라즈마 처리를 위한 유도 결합 플라즈마 반응기
KR970072169A (ko) 플라즈마 식각 장치
KR100753869B1 (ko) 복합형 플라즈마 반응기
KR100721573B1 (ko) 유도결합형 플라즈마 처리장치
KR19990036820U (ko) 반도체 웨이퍼 식각장비의 알에프 파워 분배장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110201

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee