JPH08195297A - プラズマ処理箱内に誘導的に結合されたプラズマ発生源を組み入れるための構造並びに方法 - Google Patents

プラズマ処理箱内に誘導的に結合されたプラズマ発生源を組み入れるための構造並びに方法

Info

Publication number
JPH08195297A
JPH08195297A JP7166511A JP16651195A JPH08195297A JP H08195297 A JPH08195297 A JP H08195297A JP 7166511 A JP7166511 A JP 7166511A JP 16651195 A JP16651195 A JP 16651195A JP H08195297 A JPH08195297 A JP H08195297A
Authority
JP
Japan
Prior art keywords
plasma
antenna
source
processing box
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7166511A
Other languages
English (en)
Inventor
Ajit Paranjpe
パランジプ アジット
Cecil J Davis
ジェイ.デービス セシル
Robert T Matthews
ティー.マシューズ ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH08195297A publication Critical patent/JPH08195297A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

(57)【要約】 【目的】 均質なイオン流を作りだし、汎用のプラズマ
処理箱に組み込むことのできる誘導的に結合されたRF
プラズマ発生源の構造並びに組込み方法を提供する。 【構成】 アンテナ14をエポキシ16の中に封入し、
それをプラズマ形成領域30から溶接密封する形で格納
容器18の中に設置する。アンテナ14には少なくとも
一つのRF電源装置40からRF整合ネットワーク42
を通して電力が供給される。絶縁覆い板28を格納容器
18とプラズマ形成領域30との間に配置して、均質な
プラズマ密度が得られるようにする。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は一般的に半導体処理装置
に係わり、更に詳細にはプラズマ処理箱内の誘導的に結
合されたプラズマ発生源に関する。
【0002】
【従来の技術】誘導的に結合されたプラズマ(”ICP
s”)は一般的に1MHzから100MHzの間の周波
数を有する無線周波数(”RF”)波で生成され、10
11cm -3以上の密度の荷電粒子(電子並びにイオン)お
よび5mA/cm2 を越える電流をウェファー基板に対
して提供することが可能である。ICP発生源は従っ
て、集積回路製造工程に於けるプラズマ処理アプリケー
ションに関して、電子サイクロトロン共鳴(”EC
R”)プラズマ発生源に勝とも劣らない。誘導的に結合
されたRFプラズマ発生源は容量的に結合されたプラズ
マ発生源並びにECRプラズマ発生源のいずれにも勝る
特長を有する。
【0003】容量的RF結合に比較して、誘導的に結合
されたRFプラズマは基本的により低い真性プラズマ電
位(<50V)を有し、基本的により高いイオン化効率
(>5%)を実現する。また、この真性プラズマ電位は
比較的にRF電力と独立している。低真性プラズマ電位
は高イオンエネルギーが許容されないアプリケーション
に於いて有用である。
【0004】ECRシステムの場合、誘導的に結合され
たRFプラズマのイオンエネルギーは集積回路ウェファ
ーを別のRF電源でバイアスする事により独立して変更
することができる。しかしながら、ICP発生源は処理
工程の要求(1mTorrから50mTorr)に更に
調和的な圧力範囲で運転できるという特長を有する。E
CR発生源は10mTorr未満の圧力で最も効率的で
ある。加えて、ICP発生源はより大きな直径(15c
mから30cm)、均質なプラズマをコンパクトな設計
でかつ、ECR発生源に比較して基本的により低いコス
トで提供可能である。運転圧力が高いので、与えられた
ガス流量に対するポンプ要求は更に適度である。
【0005】RF誘導結合を採用した従来式プラズマ発
生源の第一の型式は、ホイスラまたはヘリコン波を介し
てプラズマにエネルギーを結合していた。この発生源は
ヘリコンプラズマ発生源と呼ばれている。発生源の軸沿
いの100Gから1kGの範囲の磁場の存在下に於い
て、定在ホイスラ波を励起する事が、発生源空間を取り
囲むループアンテナにRF電圧を供給することにより可
能である。これらの軸方向の磁場は一般的にECR発生
源の中で採用されている磁場よりも弱いが、プラズマは
発生源の直径方向に対して不均一である。従って、ウェ
ファーは発生源の”下流”の、プラズマが十分に均質と
なる領域に離して配置しなければならない。これはこの
下流位置で十分なプラズマ密度(すなわち、電子並びに
イオン濃度)を維持するために、発生源の入力電力を増
加させることを要求する。また、大きなソレノイドコイ
ルが、軸方向磁場を発生させるために必要とされる。こ
れらは発生源のコスト並びに複雑さを増大させる。
【0006】従来式プラズマ発生源の第二の型式は汎用
ホイスラ波またはヘリコン発生源と軸方向磁場を排除す
る点が異なっている。従ってウェファーをプラズマ発生
領域の中に配置できる。この様な発生源のピークプラズ
マ密度(5x1011cm-3)はホイスラ波発生源のそれ
よりも約一桁強度が低いが、発生源の近くのウェファー
では同等の処理速度が保証されている。1μm/min
以上のエッチング速度が、関心のある多くの材料に対し
て可能である。この発生源はより簡単で、更にコンパク
トであり、しかもヘリコンプラズマ発生源よりも廉価で
ある。
【0007】誘導プラズマ発生源の第二の型式は、円筒
状真空箱の上部表面に沿って配置されている多重巻き平
形コイルを採用している。典型的に1.25cmの厚さ
の水晶真空窓がコイルを箱から絶縁している。コイルに
RF電源から電力が供給されると、大きな電流がコイル
の中を環流する。これらの電流箱の中に強烈な電波を誘
導し、これがプラズマを支える。
【0008】平形コイルで発生される時間で変化する磁
場並びに電場は、コイル電流およびコイル巻き数の二乗
の度合いに比例する。しかしながらコイルのインダクタ
ンスはコイル巻き数の二乗に比例する。これはコイルで
の電圧降下が一定のコイル電流に対してコイル巻き数が
増えるとともに増加することを意味する。例えば、1
3.56MHzに於ける実効電流20Aに対して5μH
のコイルの電圧降下は8.5kVである。この様に高い
電圧は危険でかつ結果としてコイルとプラズマとの間に
容量性エネルギー結合をもたらす。容量性結合は好まし
くない、何故ならば大量のエネルギーが容量性結合を経
由して転移されると真性プラズマ電位が劇的に増加する
ためである。これらは従来式RFプラズマ発生源の中で
コイル巻き数を3に制約していた。
【0009】プラズマを発生する封入式等高アンテナで
構成されたICPプラズマ発生源が、先に説明されてい
る。ここで我々は、この発生源を種々のプラズマ処理箱
に簡単に適用可能とする、この設計の改善を議論する。
これらの改善はまた、広い範囲の条件に対して処理性能
の最適化をも目指している。
【0010】
【発明の要約】本発明に依れば、プラズマ発生源並びに
プラズマ発生源を組込む方法が提供されており、これは
従来式プラズマ発生源における欠点並びに問題点が本質
的に除去または削減される。
【0011】プラズマ処理構造はプラズマ処理箱とその
中に装着された誘導的に結合されたプラズマ発生源とを
含む。誘導的に結合されたプラズマ発生源は溶接密封さ
れた封入アンテナを含む。種々の実施例が開示されてお
り、板形または円錐アンテナを使用し、円錐形形状の絶
縁覆い板を有し、導電性環を格納容器の表面にアンテナ
を取り囲むように追加する。さらに加えてRF電力がそ
のアンテナにひとつまたは複数のRF整合ネットワーク
を通してひとつまたは複数のRF電源から供給される。
【0012】本発明の技術的特長は、誘導的に結合され
たプラズマ発生源を汎用プラズマ処理箱の中に組み込む
ための方法を提供することである。
【0013】本発明の更に別の特長は、溶接密封された
封入アンテナ構成部品を有する誘導的に結合されたプラ
ズマ発生源を提供することである。
【0014】本発明の更に別の特長は、複数のRF同調
器そして/または発生器に接続された誘導的に結合され
たプラズマ発生源を提供することである。
【0015】これらおよびその他の特長は当業者にとっ
て、添付図に関連して仕様を参照することにより明らか
となろう。
【0016】本発明並びにその特長を更に完全に理解す
るために、添付図に関連して以下の説明に対して参照さ
れる。
【0017】
【実施例】本発明の提出された実施例並びにその特長は
添付の図1−12を参照することにより最も良く理解さ
れる、種々の図に渡って同じまたは対応する部品には同
じ番号が使用されている。
【0018】図1は本発明に基づく誘導的に結合された
プラズマ(ICP)発生源12を含むように改造された
汎用プラズマ処理箱10の部分断面、部分図式図を示
す。ICP発生源12はエポキシ16の中に内包され、
格納容器18の中に溶接密封されたアンテナ14を含
む。格納容器18は構造的に強固でしかもプラズマ環境
に耐性のある、セラミックスの様な材料で作られてい
る。エポキシ16は好適に汎用目的エポキシ梱包材であ
るが、任意の適切な梱包材も使用できる。アンテナ14
は通常アルミニウム管で構成され隣接するアルミニウム
管切片の間を、キャパシタで区切られたものであり、こ
れは米国特許第5,231,334号、1993年7月
27日発効、テキサスインスツルメンツ社に委譲、そし
てここでも参照されている、に詳細が記載されているよ
うに有効コイルインピーダンスを低減させるためである
【0019】次も図1を参照すると、アンテナ14の溶
接密封は一連のOリング22をエポキシ16がプラズマ
環境と干渉するのを防止するように使用することで実現
できる。溶接密封のその他の方法は当業者には明らかで
あろう。溶接密封が好ましいのは、プラズマにより生成
される高い反応性の環境によってほとんどのエポキシが
冒されるためである。
【0020】格納容器18の全体は処理箱10の真空環
境の中に配置されており、好適に処理箱10の上壁にボ
ルトで取り付けられている。アンテナ14の少なくとも
二本のリード配線24が箱10の上壁を絶縁ブッシュ2
6を通して貫通している。絶縁ブッシュ26は好適にセ
ラミックで構成されている。格納容器18はプラズマが
格納容器18の背面並びに周囲に形成されることを防止
するために、必要に応じて金属遮蔽20で随意に取り囲
んでもかまわない。また図1には汎用処理箱10のその
他の構成部品、例えばウェファー36を保持するための
チャック34およびポンプ出口部38が図示されてい
る。
【0021】絶縁覆い板28は格納容器18の表面をプ
ラズマ形成領域30から分離している。絶縁覆い板28
はまたセラミックで作られている。もしも望むのであれ
ば、絶縁覆い板28に複数の穴を開け(図示せず)、ガ
ス導入孔32から処理箱10に入る処理ガスを均一に分
散させるためのシャワー頭部として作用させることも可
能である。ガスを均一分散させるための最適化されたシ
ャワー頭部孔パターンは本技術分野で良く知られてい
る。絶縁覆い板28の形状は処理をより良く均質化する
ために等高状とされている。例えば絶縁覆い板28は図
2に示すように円錐形状にしてもかまわない。絶縁覆い
板28を等高状にする事はプラズマの分布に二つの影響
を与える。第一は等高状にすることでプラズマ形成領域
30の形状が変わる。第二に、等高状にすることでプラ
ズマ内の電磁場分布が変化し、イオン流を均質に仕立て
る能力を提供する。
【0022】図1に戻って、アンテナ14にはRF整合
ネットワーク42を通してRF電源40から電力が供給
されている。RF整合ネットワーク42は図1に示すよ
うに処理箱の上壁の上に直接設置できる。これはアンテ
ナ14と整合ネットワーク42との間の電線長を最少に
保つ。もしも処理箱10が大きな直径を有する場合に
は、例えば大きな直径のウェファーを処理するために、
図3に示すようにいくつかのRF整合ネットワーク42
a−cが使用される。複数のネットワーク42a−cを
持つことにより、各々のネットワーク42a−cで駆動
されるインピーダンスが減少する。例えば、第一RF整
合ネットワーク42aは最も外側のコイルに接続されて
いる。第二RF整合ネットワーク42bは中間コイルに
接続され、そして第三RF整合ネットワーク42cは最
も内側のコイルに接続されている。図3に示すように、
別々の電源装置46、48(または発生器)が各々のR
F整合ネットワーク42a−cに接続されている。電源
装置46、48は好適に主従構成で接続されており、移
相器44を主発生器46と各々の従発生器48との間に
有する。移相器44は発生器46、48の間の相対位相
をそれらの間の相互干渉が最少となるように調整するた
めに使用される。しかしながらこれとは別に、ひとつの
発生器40または46をいくつかのまたは全部の整合ネ
ットワーク42a−cに接続することも可能である。
【0023】図4を参照すると、チャック34は通常整
合ネットワーク52を通してRF電源装置54に接続さ
れている。チャック34並びにアンテナ14は通常個別
のRF電源から電力を供給されている。チャック34及
びアンテナ14に対して同一のRF周波数が望ましい場
合は、電源装置は図3に示すものと同様に主従構成で運
転される必要があり、アンテナ14用の電源RF電源4
0が主発生器として作用する。可変移相器56が主従リ
ンクの間に挿入されており、二つの発生器40、54の
相対位相がそれらの間の相互干渉を最少とするように調
整される。
【0024】運転に際しては、好ましい処理ガス(また
は複数のガス)がガス導入孔32に供給される。アンテ
ナ14はRF電源装置40(または46並びに48)か
ら電力を供給され、アンテナ14のコイルの中を環流す
る大電流を生成する。これらの電流は箱10の内側のプ
ラズマ形成領域30の中に強烈な電場を誘導する。ガス
導入孔32を通って供給された処理ガスが、絶縁覆い板
28のシャワー頭部孔を通ってアンテナ14内の電流で
生成される電場の中に流れ込むとプラズマが生成され保
持される。次にプラズマはウェファー36の表面と従来
からのやり方で反応する。アンテナ14は溶接密封され
ているので生成されたプラズマはエポキシ16とは反応
しない。加えて、格納容器18の背面および周囲のプラ
ズマ形成は必要であれば金属遮蔽20を用いて防止でき
る。
【0025】ICP発生源12を更に最適化するため
に、図5に示すように導電性板50が格納容器18の表
面に加えられる。板50は電気的に浮いているかまたは
格納容器18の表面に設置されている。板50は渦電流
加熱および損失を最少とするためにアルミニウムで構成
される。等高状絶縁覆い板28と同様に板50はウェフ
ァー部に於いてイオン流の均質性を仕立てるために使用
できる。板50はまたアンテナとプラズマとの間の静電
結合を減少させるためにも使用され、これはアンテナ1
4の上側に直接電場が生成されないように保証すること
で行われる。板50を使用することの欠点はいくらかの
渦電流加熱損失が生じることである。
【0026】処理の均質性を改善するためにアンテナ1
4に対していくつかの最適化が行える。例えば、アンテ
ナ14の直径および巻き数はウェファーの直径および箱
の大きさで寸法を帰ることができる。例えば、アンテナ
14は図1に示されるように平板であっても、個々の処
理箱に対して更に良い処理の均質性を提供するために等
高状にしてもかまわない。等高状アンテナの一例は円錐
アンテナ14で図6に示されている。図6に示すように
アンテナ14の最も内側の巻き線は最も外側の巻き線よ
りもチャック34から遠く離れている。
【0027】もしも必要であればウェファー36と格納
容器18との間の間隙を調整可能なように設計できる。
従って、ウェファー36と格納容器18との間の間隙は
処理の均質性を最適化し電磁場によるウェファー36の
加熱を最少とするように調整できる。例えば、間隙はス
ペーサ58をICP12と処理箱10の上壁との間に、
図7に示すように組み入れるとにより変えられる。加え
て、多極磁石60の組で処理箱10の周囲を囲むことに
より多極磁場が提供され、プラズマの閉じこめを改善し
運転をより低圧領域まで拡張する。
【0028】別の最適化技術によればICP発生源12
を時間変調電力モードとし、ここではアンテナ14への
入力RF電力は時間変調されている。例えば、電力の矩
形波変調が使用される。時間変調はプラズマ放電中の種
類の混合を最適化するために有用である。時間変調は反
応イオンエッチング並びに電子サイクロトロン共鳴エッ
チングシステムで有益であることが分かっている。
【0029】図8−12は種々の幾何学的要因がイオン
流密度に与える影響を図示する。図8はアンテナの幾何
学的構造がウェファー部のイオン流分布に与える影響を
図示する。シミュレーションは4mTorrのアルゴン
プラズマおよび200−1500Wの範囲のRF電力を
使用した16.25cmの直径の処理箱に対して実行さ
れた。全体のアンテナ直径を7.5cmから16.25
cmに増やすことにより、150mmの測定直径に対し
て標準偏差を40%から21%まで減少された。更にア
ンテナの形状を平板から、最も内側および最も外側の巻
き線がそれぞれ絶縁覆い板28の表面から3.75cm
および1.25cmになるように、等高状に変えること
により、標準偏差を17%まで減少させる。
【0030】図9は追加アンテナの幾何学的構造のウェ
ファー部のイオン流分布に与える影響を図示する。アン
テナの直径を7.5cmに維持する一方で、箱10を2
1.875cmに拡大しても均質性には少しの影響しか
与えない。単に箱10の直径を増すだけで標準偏差を4
0%から30%に減少させるが、同時にアンテナ直径を
17.5cmに増やすことにより、標準偏差を5%に減
少させる。巻き数を5から4に減らしてもイオン流の均
質性には目立った影響は無い。従って巻き数を減らすこ
とが好ましく、何故ならばこれはアンテナのインダクタ
ンスを低くし、従ってRF同調を容易にする。アンテナ
の形状を平板から等高状に、最も内側および最も外側の
巻き線がそれぞれ絶縁覆い板28の表面から3.75c
mおよび1.25cmになるように、等高状に変えるこ
とによりウェファーの縁の部分に於けるイオン流をウェ
ファーの中央に比較して増加させる。
【0031】図10は絶縁覆い板28の形状がウェファ
ー部のイオン流分布に与える影響を図示する。箱10の
直径は30cm、そしてアンテナとウェファーとの間の
間隙は5cmである。絶縁覆い板28を等高状とするこ
とは、アンテナ14を等高状にすることと同じ効果があ
る(すなわち、イオン流がウェファーの縁でウェファー
の中央部より相対的に増加する)。絶縁覆い板を等高状
にする事はプラズマ分布にふたつの方式で影響を与え
る:等高状化はプラズマ発生容積の形状を変え、そして
またプラズマ内の電磁場分布にも影響を与える。イオン
流の均質性を整える能力はまた、ガス流効果に対する補
償をも可能とする。製造面から見ると、絶縁覆い板28
を等高状にすることは、アンテナ14を等高状にするよ
りも容易である。
【0032】図11は導電性環がウェファー部のイオン
流分布に与える影響を図示する。シミュレーションは、
五つの巻き数で全直径が17.5cmの平板アンテナ、
箱10内は21.875cmであると仮定して実施され
た。導電性環50は絶縁覆い板を等高状にするのと同様
な効果を有する。導電性環50は静電および電磁場分布
に影響を与える。
【0033】図12は箱の高さが電場、イオン流並びに
ウェファー部のイオン流の均質性に与える影響を図示す
る。シミュレーションは、五つの巻き数で全直径が1
7.5cmの平板アンテナ、箱10は21.875cm
を有するものと仮定して実施された。ウェファー部に於
ける残留電磁場によるウェファーの好ましからざる加熱
は、イオン流を基本的に変更することなく、単に箱10
の高さを少し増やすことにより容易に減少できた。与え
られたアンテナ構成および箱の直径に対しては、最良の
イオン流の均質性のための最適な箱高さが存在する。
【0034】本発明並びにその特長を詳細に説明してき
たが、種々の変更、代入並びに入れ替えを添付の特許請
求の項に定められた本発明の精神並びに範囲から逸脱す
ることなく行えることを理解されたい。
【0035】以上の説明に関して更に以下の項を開示す
る。
【0036】(1)プラズマ処理構造であって、処理箱
と、前記処理箱の中に設置され溶接密封された封入アン
テナを含む誘導的に結合されたプラズマ発生源とを含む
プラズマ処理構造。
【0037】(2)第1項記載の構造であって、更に前
記封入アンテナを取り囲む構造的に強固な格納容器を含
む前記構造。
【0038】(3)第1項記載の構造に於いて、前記ア
ンテナが平板である前記構造。
【0039】(4)第1項記載の構造であって、更に絶
縁覆い板を前記封入アンテナの第一側面に含む前記構
造。
【0040】(5)第1項記載の構造であって、更に前
記封入アンテナに接続されたひとつまたは複数の整合ネ
ットワークと、前記封入アンテナにRF電力を供給する
ために前記整合ネットワークに接続されたひとつまたは
複数のRF電源装置とを含む前記構造。
【0041】(6)第1項記載の構造であって、更に:
前記処理箱内部に配置されたウェファーを保持するため
のチャックと;前記チャックに整合ネットワークを通し
て接続されている第一RF電源装置と;そして前記チャ
ック用の前記第一RF電源装置と前記アンテナに接続さ
れた第二RF電源装置との間に接続された移相器と、を
含む前記構造。
【0042】(7)第2項記載の構造であって、更に前
記格納容器に近接した背面および側面に、プラズマが形
成されることを防止するために、第一側面を除いて前記
格納容器を取り囲む導電性遮蔽を含む前記構造。
【0043】(8)第1項記載の構造であって、更に前
記処理箱の側壁上に配置された複数の多極磁石を含む前
記構造。
【0044】(9)プラズマ処理箱であって:前記プラ
ズマ処理箱の内壁に取り付けられた誘導的に結合された
プラズマ発生源で:エポキシの中に封入された螺旋状ア
ンテナと;前記エポキシ並びにアンテナが溶接密封され
るように、エポキシを取り囲む構造的に強固な格納容器
と;そして前記格納容器の第一側面に隣接して配置され
た複数の孔を有する絶縁覆い板とを含む、前記誘導的に
結合されたプラズマ発生源を含む、前記プラズマ処理
箱。
【0045】(10)第9項記載のプラズマ処理箱に於
いて、前記螺旋状アンテナが前記プラズマ処理箱の前記
内壁を通って延びる複数の電線を含む前記プラズマ処理
箱。
【0046】(11)第10項記載のプラズマ処理箱で
あって、更に前記複数の配線に接続された少なくともひ
とつの整合ネットワークと、前記螺旋状アンテナにRF
電力を供給するために前記整合ネットワークの前記少な
くともひとつに接続された少なくともひとつのRF電源
装置とを含む、前記プラズマ処理箱。
【0047】(12)第5項並びに第11項記載のプラ
ズマ処理箱に於いて、前記少なくともひとつのRF電源
装置がひとつの主電源装置と主従構成で接続されたひと
つまたは複数の従電源装置とを含み、前記主電源装置と
前記ひとつまたは複数の従電源装置との間に配置された
移相器とを有する、前記プラズマ処理箱。
【0048】(13)第4項並びに第9項記載のプラズ
マ処理箱に於いて、前記絶縁覆い板が等高状である、前
記プラズマ処理箱。
【0049】(14)第1項並びに第9項記載のプラズ
マ処理箱に於いて、前記アンテナが等高状である、前記
プラズマ処理箱。
【0050】(15)第2項並びに第9項記載のプラズ
マ処理箱であって、更に前記格納容器の前記第一側面に
配置された少なくとも一つの導電性環を含む、前記プラ
ズマ処理箱。
【0051】(16)第1項並びに第9項記載のプラズ
マ処理箱に於いて、前記アンテナがお互いがキャパシタ
と絶縁体とによって個別に分離されている複数のコイル
切片を含む、前記プラズマ処理箱。
【0052】(17)プラズマ処理箱の中に誘導的に結
合されたプラズマ発生源を組み込むための方法であっ
て:ウェファーを保持するためのチャックを有するプラ
ズマ処理箱を用意し;螺旋状アンテナをエポキシの中に
封入し;前記アンテナとエポキシとを構造的に強固な格
納容器で取り囲み;前記エポキシを溶接密封し;前記格
納容器を前記プラズマ処理箱の内壁に取り付け;そして
前記格納容器の第一側面に絶縁覆い板を用意する、以上
の手順を含む前記方法。
【0053】(18)第17項記載の方法であって、更
に前記螺旋状アンテナにRF電力を供給し;そしてプラ
ズマを形成させるために少なくとも一種類の処理ガスを
前記プラズマ処理箱に与える、以上の手順を含む前記方
法。
【0054】(19)第18項記載の方法であって、更
に前記アンテナに供給されるRF電力を時間変調する手
順を含む前記方法。
【0055】(20)第17項記載の方法であって、更
に前記格納容器と前記チャックとの間の間隙を調整する
ために、前記格納容器と前記内壁との間にスペーサを設
置する手順を含む、前記方法。
【0056】(21)第17項記載の方法であって、更
に前記絶縁覆い板を等高状にする手順を含む前記方法。
【0057】(22)第17項記載の方法であって、更
に前記螺旋状アンテナを円錐形状に等高状化する手順を
含む前記方法。
【0058】(23)第17項記載の方法であって、更
に導電性板を前記格納容器の前記第一側面上に設置する
手順を含む前記方法。
【0059】(24)その中に誘導的に結合されたプラ
ズマ(ICP)発生源12を有するプラズマ処理箱10
である。ICP発生源12はエポキシ16の中に封入さ
れ、格納容器18で取り囲まれたアンテナ14を含む。
アンテナ14およびエポキシ16はプラズマ形成領域3
0から溶接密封されている。アンテナ14には少なくと
もひとつのRF電源装置40から少なくともひとつのR
F整合ネットワーク42を介して電力が供給されてい
る。絶縁覆い板28はICP発生源12をプラズマ形成
領域30から分離し、処理ガスの均質なシャワー頭部か
らの分配を提供するための複数の孔をその中に有するこ
とも可能である。
【0060】
【関連する明細書の相互参照】以下の関連する特許明細
書がここで参照として組み入れられている: 連続番号 申請日時 名称 08/097,498 1993年7月26日 プラズマ発生源並びに製造方法
【図面の簡単な説明】
【図1】開示されたプラズマ発生源を採用したプラズマ
処理箱の部分断面図、部分図式図を示す。
【図2】プラズマ発生源の近くに等高絶縁覆い板を有す
る、別の構造を図示する。
【図3】大領域用プラズマ発生源の別の実施例を図示す
る。
【図4】プラズマ発生源用RF電源とウェファーチャッ
クとの間の主従リンクを有するプラズマ発生源の別の実
施例を図示する。
【図5】アンテナ格納容器の表面に導電性板を有するプ
ラズマ発生源の別の構成を図示する。
【図6】等高アンテナを有するプラズマ発生源の別の構
成を図示する。
【図7】開示されたプラズマ発生源とウェファーチャッ
クとの間の間隙を調整するための機構を図示する。
【図8】アンテナの幾何学的構造がウェファー部に於い
てイオン流分布に与える影響のグラフである。
【図9】アンテナの幾何学的構造がウェファー部に於い
てイオン流分布に与える影響のグラフである。
【図10】絶縁覆い板の形状がウェファー部に於いてイ
オン流分布に与える影響のグラフである。
【図11】導電性板がウェファー部に於いてイオン流分
布に与える影響のグラフである。
【図12】箱の高さがウェファー部に於いて、電場、イ
オン流、およびイオン流均一性に与える影響のグラフで
ある。
【符号の説明】
10 処理箱 12 ICP発生源 14 アンテナ 16 エポキシ 18 格納容器 20 金属遮蔽 22 Oリング 24 リード配線 26 絶縁ブッシュ 28 絶縁覆い板 30 プラズマ形成領域 34 チャック 36 ウェファー 38 ポンプ出口部 40 RF電源装置 42 RF整合ネットワーク 52 整合ネットワーク 54 RF電源装置 56 可変移相器
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/3065 (72)発明者 ロバート ティー.マシューズ アメリカ合衆国 テキサス州 プラノ,パ ークヘブンドライブ 2417

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理構造であって:処理箱と;
    前記処理箱の中に設置され溶接密封された封入アンテナ
    を含む誘導的に結合されたプラズマ発生源とを含むプラ
    ズマ処理構造。
  2. 【請求項2】 プラズマ処理箱の中に誘導的に結合され
    たプラズマ発生源を組み込むための方法であって:ウェ
    ファーを保持するためのチャックを有するプラズマ処理
    箱を用意し;螺旋状アンテナをエポキシの中に封入し;
    前記アンテナとエポキシとを構造的に強固な格納容器で
    取り囲み;前記エポキシを溶接密封し;前記格納容器を
    前記プラズマ処理箱の内壁に取り付け;そして前記格納
    容器の第一側面に絶縁覆い板を用意する、以上の手順を
    含む組込み方法。
JP7166511A 1994-06-30 1995-06-30 プラズマ処理箱内に誘導的に結合されたプラズマ発生源を組み入れるための構造並びに方法 Pending JPH08195297A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/269,414 US5580385A (en) 1994-06-30 1994-06-30 Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US269414 1994-06-30

Publications (1)

Publication Number Publication Date
JPH08195297A true JPH08195297A (ja) 1996-07-30

Family

ID=23027137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7166511A Pending JPH08195297A (ja) 1994-06-30 1995-06-30 プラズマ処理箱内に誘導的に結合されたプラズマ発生源を組み入れるための構造並びに方法

Country Status (6)

Country Link
US (2) US5580385A (ja)
EP (1) EP0690666B1 (ja)
JP (1) JPH08195297A (ja)
KR (1) KR100373815B1 (ja)
DE (1) DE69504254T2 (ja)
TW (1) TW501842U (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009191313A (ja) * 2008-02-14 2009-08-27 Mitsui Eng & Shipbuild Co Ltd 原子層成長装置
JP2012169629A (ja) * 2000-06-30 2012-09-06 Lam Research Corporation 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法

Families Citing this family (132)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5525159A (en) * 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
KR100471728B1 (ko) * 1996-04-12 2005-03-14 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마 처리장치
DE69719108D1 (de) * 1996-05-02 2003-03-27 Tokyo Electron Ltd Plasmabehandlungsgerät
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5897712A (en) * 1996-07-16 1999-04-27 Applied Materials, Inc. Plasma uniformity control for an inductive plasma source
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
EP1324371B1 (en) 1996-09-27 2006-06-07 Surface Technology Systems Plc Plasma processing apparatus
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6308654B1 (en) 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6345588B1 (en) * 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
JP3367077B2 (ja) * 1997-10-21 2003-01-14 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP2002525866A (ja) * 1998-09-22 2002-08-13 アプライド マテリアルズ インコーポレイテッド 内部誘導コイルアンテナ及び導電性チャンバ壁を有するrfプラズマエッチング反応器
US6440220B1 (en) * 1998-10-23 2002-08-27 Goodrich Corporation Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6494998B1 (en) 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6634313B2 (en) 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
KR100396214B1 (ko) * 2001-06-19 2003-09-02 주성엔지니어링(주) 초단파 병렬 공명 안테나를 구비하는 플라즈마 공정장치
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
TWI306311B (en) * 2002-06-21 2009-02-11 Sanyo Electric Co Thin film transistor and method for producing thin film transistor
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
DE10308539B3 (de) * 2003-02-27 2004-06-03 Bauer Maschinen Gmbh Fräsvorrichtung zum Fräsen von Schlitzen im Boden
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
WO2005094140A1 (ja) * 2004-03-26 2005-10-06 Nissin Electric Co., Ltd. プラズマ発生装置
KR20060073737A (ko) * 2004-12-24 2006-06-29 삼성전자주식회사 플라즈마 장치
KR100721572B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
KR100721573B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
CN100372075C (zh) * 2005-04-15 2008-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种电感耦合等离子体装置
KR101313705B1 (ko) * 2005-06-24 2013-10-01 주성엔지니어링(주) 저온 폴리 실리콘의 증착방법 및 이를 위한 플라즈마발생장치
US7591232B2 (en) * 2006-03-31 2009-09-22 Tokyo Electron Limited Internal coil with segmented shield and inductively-coupled plasma source and processing system therewith
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
CA2851129C (en) * 2011-10-30 2017-10-31 Paskal Technologies Agriculture Cooperative Society Ltd. Self-learning of plant growth strategy in a greenhouse
RU2503079C1 (ru) 2012-04-24 2013-12-27 Евгений Владимирович Берлин Генератор плазмы (варианты)
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2020003344A1 (ja) * 2018-06-25 2020-01-02 東芝三菱電機産業システム株式会社 活性ガス生成装置及び成膜処理装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2085482B (en) * 1980-10-06 1985-03-06 Optical Coating Laboratory Inc Forming thin film oxide layers using reactive evaporation techniques
US4675690A (en) * 1984-05-25 1987-06-23 Revlon, Inc. Conical spiral antenna
JPS62133724A (ja) * 1985-12-06 1987-06-16 Toshiba Corp バイアススパツタ薄膜の製造方法
US5036252A (en) * 1988-04-26 1991-07-30 Hauzer Holding Bv Radio frequency ion beam source
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
DE4022708A1 (de) * 1990-07-17 1992-04-02 Balzers Hochvakuum Aetz- oder beschichtungsanlagen
US5200595A (en) * 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012169629A (ja) * 2000-06-30 2012-09-06 Lam Research Corporation 成分送給機構、プラズマリアクタ、及び、半導体基板を処理する方法
JP2009191313A (ja) * 2008-02-14 2009-08-27 Mitsui Eng & Shipbuild Co Ltd 原子層成長装置

Also Published As

Publication number Publication date
US5580385A (en) 1996-12-03
KR100373815B1 (ko) 2003-05-01
TW501842U (en) 2002-09-01
EP0690666A1 (en) 1996-01-03
DE69504254D1 (de) 1998-10-01
EP0690666B1 (en) 1998-08-26
DE69504254T2 (de) 1999-04-08
KR960002631A (ko) 1996-01-26
US5591493A (en) 1997-01-07

Similar Documents

Publication Publication Date Title
JPH08195297A (ja) プラズマ処理箱内に誘導的に結合されたプラズマ発生源を組み入れるための構造並びに方法
US6392351B1 (en) Inductive RF plasma source with external discharge bridge
EP0413282B1 (en) Method and apparatus for producing magnetically-coupled planar plasma
KR100238627B1 (ko) 플라즈마 처리장치
EP0565960B1 (en) Plasma source and method of manufacturing
US6080271A (en) Plasma source for generating inductively coupled, plate-shaped plasma, having magnetically permeable core
US5619103A (en) Inductively coupled plasma generating devices
US7854213B2 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
US6451161B1 (en) Method and apparatus for generating high-density uniform plasma
JP3653524B2 (ja) プラズマ発生方法、および誘導結合されたプラズマ発生源を含むプラズマ発生装置
US6855906B2 (en) Induction plasma reactor
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
KR100444189B1 (ko) 유도결합 플라즈마 소스의 임피던스 정합 회로
KR19990028399A (ko) 유도 결합 플라즈마 소스를 위한 저 인덕턴스 대면적 코일
JPH088095A (ja) プラズマ処理用高周波誘導プラズマ源装置
JPH0770532B2 (ja) プラズマ処理装置
JPH0684811A (ja) プラズマ発生装置
TWI439186B (zh) 化合物電漿來源及利用該來源以解離氣體的方法
KR100391063B1 (ko) 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
KR100793457B1 (ko) 다중 방전실을 갖는 플라즈마 반응기
KR100476902B1 (ko) 균일 분포 플라즈마를 형성하는 대면적 플라즈마안테나(lapa)및 이를 포함하는 플라즈마 발생장치
JP3043215B2 (ja) プラズマ発生装置
RU2196395C1 (ru) Плазменный реактор и устройство для генерации плазмы (варианты)
WO2002084700A1 (en) Inductively coupled plasma control with external magnetic material

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040130

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20040430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20040510

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040729

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20051202

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20051213

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060623