KR100373815B1 - 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법 - Google Patents

플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법 Download PDF

Info

Publication number
KR100373815B1
KR100373815B1 KR1019950017440A KR19950017440A KR100373815B1 KR 100373815 B1 KR100373815 B1 KR 100373815B1 KR 1019950017440 A KR1019950017440 A KR 1019950017440A KR 19950017440 A KR19950017440 A KR 19950017440A KR 100373815 B1 KR100373815 B1 KR 100373815B1
Authority
KR
South Korea
Prior art keywords
antenna
plasma processing
processing chamber
plasma
housing
Prior art date
Application number
KR1019950017440A
Other languages
English (en)
Other versions
KR960002631A (ko
Inventor
아지트프라모드파란즈페
세실제이.데이비스
로버트티.매튜스
Original Assignee
텍사스 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텍사스 인스트루먼츠 인코포레이티드 filed Critical 텍사스 인스트루먼츠 인코포레이티드
Publication of KR960002631A publication Critical patent/KR960002631A/ko
Application granted granted Critical
Publication of KR100373815B1 publication Critical patent/KR100373815B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

유도 결합 플라즈마 소스(ICP; 12)가 장착된 플라즈마 처리 챔버(10)를 개시하였다. ICP 소스(12)는 에폭시(16)로 캡슐화된 안테나(14)를 에워싸는 하우징(18)을 포함한다. 안테나(14)와 에폭시(16)은 플라즈마 형성 영역(30)으로부터 밀폐되어 있다. 안테나(14)는 적어도 하나의 RF 정합 회로(42)를 통해 최소한 하나의 RF 전원 공급기(40)으로부터 전원을 공급 받는다. 유전체 덮개판(28)은 ICP 소스(12)와 플라즈마 형성 영역(30)을 분리시키며, 처리 가스를 균일하게 분사하기 위한 샤워 장치로서 다수의 구멍을 갖는다.

Description

플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합한 장치 및 그 방법
본 발명은 반도체 제조 장치에 관한 것이며, 특히 플라즈마 처리 챔버의 유도 결합 플라즈마 소스(inductively coupled plasma source)에 관한 것이다.
무선 주파수(radio frequency; 이하 "RF")파에 의해 생성되어 1 MHz에서 100 MHz 사이의 주파수를 갖는 유도 결합 플라즈마(inductively coupled plasma; 이하"ICP")는 1011cm-3이상의 대전 입자(전자 또는 이온) 밀도(concentration)와 반도체 기판으로 향하는 5 mA/cm2이상의 이온 전류를 제공할 수 있다. 따라서, ICP 소스는 집적 회로 제조 공정의 플라즈마 처리 과정을 위한 전자 사이클로트론 공명(electron cyclotron resonance; 이하 "ECR") 플라즈마 소스에 경쟁력을 가질 수 있다. 유도 결합 RF 플라즈마 소스는 용량 결합 RF 플라즈마 소스(capacitively coupled RF plasma sources)와 ECR 플라즈마 소스 모두에 대해 장점을 갖는다.
용량성 RF 결합에 대하여, 유도 결합 RF 플라즈마는 실질적으로 보다 낮은 고유 플라즈마 전위(intrinsic plasma potential)(〈50V)를 가지며, 보다 높은 이온화 효율(ionization efficiency)(〉5 %)을 갖는다. 또한, 그 고유 플라즈마 전위는 상대적으로 RF 일률(power)에 무관하게 된다. 낮은 고유 플라즈마 전위는 높은 이온 에너지가 허용되지 않는 곳에서 유용하다.
ECR 시스템의 경우와 같이, 유도 결합 RF 플라즈마의 이온 에너지는 별도의 RF 전원으로 집적 회로의 웨이퍼를 바이아스(bias)함으로써 독립적으로 변화시킬 수 있다. 그러나, ICP 소스는 공정상의 요건에 보다 적절한 압력 범위(1 mTorr에서 50 mTorr)에서 동작할 수 있는 잇점을 갖는다. ECR 소스는 10mTorr 이하의 압력에서 가장 효과적이다. 또한, ICP 소스는 ECR 소스보다 실질적으로 더 적은 비용으로 간결한 구조(a compact design)의 대구경(15 cm에서 30 cm), 균질(homogeneous)의 플라즈마를 공급할 수 있다. 동작 압력이 높기 때문에, 주어진 가스 유속(gas flow rate)에 대하여 보다 완화된 압축 조건을 갖는다.
RF 유도 결합을 채용한 선행 기술의 플라즈마 소스의 제1 형식은, 휘슬러 파 또는 헬리콘 파(whistler or helicon wave)를 통해 에너지를 플라즈마에 결합시킨다. 이것을 헬리콘 플라즈마 소스(helicon plasma source)라 한다. 100 G에서 1 KG(G: 가우스) 범위의 소스의 축방향 자기장의 존재하에서, 소스 공동(source cavity) 둘레에 위치한 루프 안테나(loop antenna)에 RF 전위를 가하면, 정상 휘슬러 파(standing whistler wave)를 여기시킬 수 있다. 이 축방향 자기장은 일반적으로 ECR 소스에 채용되는 자기장 보다 약하지만, 소스의 지름 방향으로 본 플라즈마는 균일하지 않다(non-uniform). 따라서, 웨이퍼는 소스로부터 멀리 플라즈마가 충분히 균일한 영역에 위치하거나 "아래로 향하게(downstream)" 위치해야 한다. 이것은 소스의 입력 전력이 아래로 향하는 위치에서 충분한 플라즈마 밀도(즉, 전자 및 이온 밀도)를 유지하도록 증가될 것을 요한다. 또한, 축방향 자기장을 생성하기 위하여 커다란 솔레노이드 코일(solenoidal coil)이 필요하다. 이것은 소스의 비용과 복잡성을 증가시킨다.
선행 기술의 플라즈마 소스의 제2 형식은 축방향 자기장을 생략한 점에서 통상의 휘슬러 파 소스 또는 헬리콘 소스와 다르다. 따라서, 플라즈마 생성 영역내에 웨이퍼를 위치시킬 수 있다. 그러한 소스의 최대 플라즈마 밀도(5 x 1011cm-3)가 휘슬러 파 소스의 그것에 비해 약 한자릿수(an order; 101) 정도 작은 값을 갖지만, 웨이퍼가 소스에 가까이 위치한다는 점에서 처리속도는 유사함을 확인할 수 있다. 많은 물질(materials)에 대하여 1 μm/min 이상의 식각률이 가능하다. 이 소스는 헬리콘 플라즈마 소스에 비해 보다 간단하고 간결하며 값이 싸다.
제2 형식의 유도 플라즈마 소스는 원주형 진공 챔버의 상단 표면에 위치시키는 다권선 팬케익형 코일(multi-turn pancake coil)을 채용한다. 통상적으로 0.5인치 두께가 되는 수정 진공 창(quartz vacuum window)이 코일과 챔버를 격리시킨다. 코일에 RF 전원을 공급하면, 대 전류가 코일내를 흐른다. 이 전류는 챔버내의 플라즈마를 유지시키는 강한 전기장을 유도한다.
팬케익형 코일에 의해 생성되는 시변 전자기장(time-varying magnetic and electric field)은 코일의 전류에 비례하며 코일 권선수(coil turns)의 제곱에 비례한다. 유도된 장(field)의 균일성(uniformity)은 코일 권선수를 증가시키면 향상된다. 그러나, 코일의 인덕턴스(inductance)는 코일 권선수의 제곱에 비례한다. 이것은 일정한 코일 전류에 대한 코일 권선수의 증가에 따라 코일 양단의 전위차가 커진다는 것을 의미한다. 예를 들어, 13.56 MHz의 RMS(Root Mean Square; 전류의 평균 제곱근)값 20A인 전류에 대한 5 μH(H: Henry, 인덕턴스의 단위) 코일 양단의 전위차는 8.5 KV이다. 그러한 고전위는 위험하며, 코일과 플라즈마간의 용량성 에너지 결합을 야기한다. 만약, 상당한 양의 에너지가 용량성 결합을 통해 전달된다면, 고유 플라즈마 전위가 극단적으로 커지기 때문에, 용량성 결합은 바람직하지 못하다. 이러한 문제점들은 선행 기술의 RF 플라즈마 소스의 코일 권선수를 약 3으로 제한하게 한다.
플라즈마를 생성하는 감싼 등고선형 안테나(encapsulated contouredantenna)를 포함하는 ICP 플라즈마 소스는 이미 개시된 바 있다[12]. 여기에서는, 다양한 플라즈마 처리 챔버에 이 소스를 쉽게 적용할 수 있게 하는 설계에 대하여 상세히 논한다. 이러한 상세한 논의는 넓은 범위의 조건에 걸친 최적의 처리 성능을 위한 목적도 또한 갖는다.
본 발명의 플라즈마 소스와 그 제조 방법은 선행 기술의 플라즈마 소스의 단점과 문제점을 제거하거나 경감시키기 위한 것이다.
플라즈마 처리 장치(plasma processing structure)는 플라즈마 처리 챔버 및 그에 장착된 유도 결합 플라즈마 소스를 포함한다. 유도 결합 플라즈마 소스는 밀폐봉입되어 캡슐화된 안테나(hermetically sealed encapsulated antenna)를 포함한다. 평면 안테나 또는 원뿔형 안테나를 포함하는 실시예, 원뿔형태인 유전체 덮개판을 가진 실시예 및 안테나를 둘러싼 하우징의 표면에 전도성 링(ring)을 부착한 실시예등 다양한 실시예를 개시하였다. 또한, RF 전원은 하나 이상의 RF 전원 공급기로부터 하나 이상의 RF 정합 회로망(matching network)을 통해 안테나로 공급된다.
본 발명의 기술적 장점은 일반적인 플라즈마 처리 챔버에 유도 결합 플라즈마 소스를 결합시키는 방법을 제공하는 점이다.
본 발명의 또다른 기술적 장점은 밀폐봉입되어 캡슐화된 안테나 어셈블리를 가진 유도 결합 플라즈마 소스를 제공하는 점이다.
본 발명의 또다른 장점은 다수의 RF 튜너 및/또는 발생기와 접속된 유도 결합 플라즈마 소스를 제공하는 점이다.
이러한 장점들은 첨부된 도면과 함께 상세한 설명을 참조하면, 본 발명의 기술 분야의 통상의 전문가들은 쉽게 인식할 수 있을 것이다.
〈실시예〉
본 발명과 그의 장점에 대한 보다 완벽한 이해를 위해 이하에서 첨부된 도면과 함께 설명한다.
제1도는 본 발명의 유도 결합 플라즈마 소스(12)를 포함하도록 변경된 일반적인 플라즈마 처리 챔버(10)의 개념적인 부분 단면도이다. ICP 소스(12)는 에폭시(epoxy; 16)로 캡슐화되고 하우징(18) 내에 밀폐봉입되어 수용된 안테나(14)를 포함한다. 하우징(18)은 세라믹(ceramic)과 같이 구조적으로 단단하고 플라즈마 환경에 내성을 갖는 물질로 제조한다. 에폭시(16)는 범용 에폭시 밀봉제(encapsulant)가 좋지만, 어떤 밀봉제라도 적당한 것이면 가능하다. 안테나(14)는 전형적으로 알루미늄 관으로 구성되며, 텍사스 인스트루먼트 社의 1993년 7월 27일자 미국 등록 특허 제 5,231,334호에 기술된 유효 코일 임피던스(effective coil impedance)를 줄이기 위하여 인접한 알루미늄 관들의 사이에 캐패시터(capacitor)를 설치하여 분할시켜 놓았다.
제1도를 참조하면, 안테나(14)의 밀폐 봉입(hermetic sealing)은 일련의 둥근 링(O-rings; 22)을 사용하여 달성될 수 있으며 에폭시(16)가 플라즈마 환경과 반응하는 것을 방지할 수 있다. 다른 밀폐봉입 방식은 본 발명의 기술분야에 이미 널리 알려져 있다. 밀폐봉입하는 것은, 플라즈마에 의해 생성되는 고반응성 환경에서 대부분의 에폭시가 손상되기 때문에 필요한 것이다.
전체 하우징(18)은 처리 챔버(10)의 진공 환경내에 위치하며, 처리 챔버(10)의 상부 벽에 볼트로 고정시키는 것이 좋다. 최소한 두개의 안테나(14) 리드선(lead; 24)을 절연 부싱(bushing; 26)을 통해 챔버(10)의 상부 벽을 뚫고 나오게 한다. 절연 부싱(26)은 세라믹으로 제조된 것이 좋다. 하우징(18)은 그 뒷면이나 주위에 플라즈마가 형성되는 것을 막을 필요가 있을 때에는 금속 차폐막(20)을 두를 수 있다. 제1도에는 웨이퍼(36)를 지지하기 위한 척(chuck; 34)과 펌프 단(pump out port; 38)과 같은 일반적인 처리 챔버(10)의 다른 구성 요소들도 도시되어 있다.
유전체 덮개판(dielectric capping plate; 28)에 의해 하우징(18)의 표면이 플라즈마 형성 영역(30)으로부터 분리된다. 유전체 덮개판(28) 역시 세라믹으로 제조한다. 원한다면, 가스 인입구(gas inlet; 32)에서 처리 챔버(10)로 들어가는 처리 가스를 균일하게 분사하도록 하는 샤워 장치로 가능하도록, 유전체 덮개판(28)에 다수의 구멍(도시 안됨)을 형성시킬 수도 있다. 균일한 가스 분사를 위한 최적의 샤워 장치 구멍 패턴은 본 발명의 기술분야에 이미 잘 알려져 있다. 보다 양호한 처리의 균일성을 제공하기 위하여 유전체 덮개판(28)의 외형을 조작할 수 있다. 예를 들어, 제2도에 도시된 바와 같이 유전체 덮개판(28)의 외형을 원뿔형으로 형성할 수 있다. 유전체 덮개판(28)의 외형은 플라즈마의 분포에 두가지 방식으로 영향을 준다. 첫째로, 외형에 의해 플라즈마 형성 영역의 형상이 변화한다. 둘째로는, 외형에 의해 플라즈마의 전자기장 분포가 변화하며, 이온 플럭스 균일도(ion flux uniformity)를 조작할 수 있게 한다.
다시 제1도를 참조하면, 안테나(14)는 RF 정합 회로망(42)을 통해 RF 전원(40)으로부터 전원을 공급받는다. RF 정합 회로망(42)은 제1도에 도시된 바와 같이 처리 챔버의 상부 벽위에 바로 설치될 수도 있다. 이렇게 하면, RF 정합 회로망(42) 사이의 리드선의 길이를 최소로 할 수 있다. 만약 처리 챔버(10)의 직경이 크다면, 예를 들어, 대구경 웨이퍼를 처리하기 위한 것이라면, 제3도와 같이 몇가지 RF 정합 회로망(42a, 42b, 42c)이 사용될 수 있다. 다수의 회로망(42a, 42b, 42c)을 사용하면, 각각의 회로망에 의해 구동되는 임피던스를 작게 할 수 있다. 예를 들어, 제1 RF 정합 회로망(42a)은 최외각의 코일에 접속한다. 제2 RF 정합 회로망(42b)은 중간의 코일에 접속되고, 세번째 RF 정합 회로망(42c)은 가장 안쪽의 코일에 접속된다. 제3도에 도시된 바와 같이, 별개의 전원(또는 발전기, 46, 48)이 각 RF 정합 회로망(42a, 42b, 42c)에 접속된다. 전원(46, 48)은 주 발전기(46)와 각각의 종 발전기(48) 사이에 위상 전이기(phase shifter; 44)를 갖는 주-종 구성으로 접속하는 것이 좋다. 위상 전이기(44)는 각 발전기(46, 48) 간의 상호 작용을 최소화하기 위하여 그들 사이의 상대적인 위상을 조정하는데 사용된다. 그러나, 하나의 발전기(40 또는 46)를 정합 회로망(42a, 42b, 42c)의 몇개 또는 전부에 접속시킬 수도 있다.
제4도를 참조하면, 척(34)은 정합 회로망(52)을 통해 RF 전원 공급기(54)에 전형적으로 접속되어 있다. 척(34)과 안테나(14)는 통상 별개의 RF 전원에 의해 전원을 공급받는다. 척(34)과 안테나(14) 모두에 같은 RF 주파수가 필요하다면, 전원 공급기는 제3도에 도시된 것과 유사하게, 안테나(14)의 공급기(40)를 주 발전기로 하는 주-종 구성으로 동작할 필요가 있다. 가변 위상 전이기(8)를 주-종 결합에 삽입시키고, 두 발전기(40, 54)의 상대 위상은 두 발전기 사이의 상호 작용을 최소화하도록 조정된다.
동작중에 필요한 처리 가스(또는 가스들)는 가스 인입구(32)로 공급된다. 안테나(14)는, 그 코일내를 흐르는 큰 전류를 생성하도록 RF 전원 공급기(40 또는 46, 48)에 의해 전원을 공급받는다. 이 전류는 챔버(10) 내부의 플라즈마 형성 영역(30)에 강한 전기장을 유도한다. 가스 인입구(32)를 통해 공급된 처리 가스가 유전기 덮개판(28)의 샤워 장치 구멍을 통해 안테나의 전류에 의해 생성된 전기장으로 흘러 들어가면, 플라즈마가 형성되어 유지된다. 그리고나서, 플라즈마는 통상적인 방식으로 웨이퍼(36)의 표면과 반응한다. 안테나(14)는 밀폐봉입되었기 때문에, 생성된 플라즈마와 에폭시(16)는 반응하지 않는다. 또한, 필요하다면, 하우징(18)의 후면과 주변에는 금속 차폐막(20)을 사용하여 플라즈마의 형성을 막을 수 있다.
ICP 소스를 더욱 최적화하기 위하여, 제5도에 도시된 바와 같이 하우징(18)의 표면에 전도성 판(50)을 추가시킬 수 있다. 판(50)은 와전류(eddy current)에 의한 발열과 손실을 최소화하기 위하여 알루미늄으로 구성된다. 유전체 덮개판(50)의 외형과 유사하게, 판(50)도 웨이퍼 상에서의 이온 플럭스 균일도를 조작하는데 사용할 수 있다. 판(50)은 안테나(14) 상부에 직접적인 전기장이 전혀 없음을 보장함으로써 안테나와 플라즈마 사이의 정전기적 결합을 감소시키는 데에 사용할 수도 있다. 판(50)을 사용하는데 따르는 단점은 약간의 와전류 발열 손실이 발생한다는 점이다.
처리 균일도를 향상시키기 위한 몇가지 최적화를 안테나에 가할 수 있다. 예를 들어, 안테나(14)의 권선의 지름과 권선수를 웨이퍼의 직경과 챔버의 크기에 따라 조절한다. 또한, 안테나(14)는 제1도에 도시된 바와 같이 편평할 수도 있고, 특정한 처리 챔버에 대하여 보다 양호한 처리 균일도를 제공하기 위하여 외형을 조작할 수도 있다. 외형을 조작한 안테나의 한 예로서, 제6도에 도시된 원뿔형 안테나가 있다. 제6도에 도시되었듯이, 가장 안쪽의 안테나(14) 권선은 최외각의 권선보다 척(34)으로부터 멀리 떨어져 있다.
필요하다면, 웨이퍼(36)와 하우징(18) 사이의 간격을 조절할 수 있도록 설계할 수 있다. 따라서, 웨이퍼(36)와 하우징(18) 사이의 간격은 처리 균일도를 최적화하고 전자기장에 의한 웨이퍼(36)의 발열을 최소화할 수 있도록 조정될 수 있다. 예를 들어, 제7도에 도시된 바와 같이, ICP(12)와 처리 챔버(10)의 상부 벽사이에 스페이서(58)를 개입시킴으로써 간격을 조정할 수 있다. 또한, 처리 챔버(10) 주변에 다극 자석(60)을 설치하여 플라즈마 감금성(confinement)을 향상시키며 저압에 까지 동작 범위를 확장시키는 다극 자기장을 생성할 수도 있다.
다른 최적화 기술은, 안테나(14)에 공급되는 RF 파워를 시변조(time modulate)하는 시변조 전원 모드에서 ICP 소스(12)를 동작시키는 방식을 포함한다. 예를 들어, 전원의 구형파(square wave) 변조를 사용할 수 있다. 시변조 방식은 플라즈마 방전에서 종(species)의 혼합비를 최적화하는데 유용하다. 시변조 방식은 반응성 이온 에칭(reactive ion etching)과 전자 사이클로트론 공명 에칭 시스템에 유용한 것으로 밝혀졌다.
제8도 내지 제12도는 이온 플럭스 밀도에 대한 다양한 기하학적 변수가 미치는 영향을 예시하고 있다. 제8도는 안테나의 기하학적 인수가 웨이퍼에서의 이온 플럭스 밀도에 미치는 영향을 도시한다. 6.5인치 직경의 처리 챔버에 대하여 4 mTorr 입력과 200∼1500 W 범위의 RF 전원의 아르곤 플라즈마를 이용한 시뮬레이션(simulation)을 실시하였다. 전체 안테나 직경을 3인치에서 6.5인치로 증가시킨 결과, 150 mm의 측정 직경에 대한 표준 편차가 40 %로부터 21 %로 감소하였다. 또한, 최내각 권선과 최외각 권선이 유전체 덮개판(28)으로부터 각각 1.5인치와 0.5인치 떨어지도록 안테나의 모양을 평판으로부터 원뿔형으로 변형시킨 결과, 표준편차가 17 %로 감소하였다.
제9도는 안테나의 다른 기하학적 인수가 웨이퍼 상의 이온 플럭스 분포에 미치는 영향을 도시한다. 안테나의 직경을 3인치로 유지하면서, 챔버(10)의 직경을 8.75 인치로 확장시킨 결과, 균일도에만 작은 영향을 미쳤다. 단지 챔버(10)의 직경만을 증가시킨 경우에는 표준 편차를 40 %로부터 30 %로 감소시키지만, 동시에 안테나의 직경까지 7인치로 증가시키면 표준 편차를 5 %로 감소시키게 된다. 권선수를 5로부터 4로 감소시키는 것은 이온 플럭스 균일도에 별다른 영향을 주지 않는다. 따라서, 안테나의 인덕턴스를 감소시켜 RF 정합을 쉽게 만들기 때문에, 권선수를 감소시키는 것이 바람직하다. 최내각의 권선과 최외각의 권선이 유전체 덮개판(28)의 표면으로부터 각각 1.5 인치 및 0.5 인치 떨어져 위치하도록 안테나의 모양을 판형(planar)으로부터 원뿔형으로 변화시키면, 웨이퍼 가장자리의 이온 플럭스가 웨이퍼 중심의 그것보다 상대적으로 증가하게 된다.
제10도는 유전체 덮개판(28)의 외형이 웨이퍼에서의 이온 플럭스 분포에 미치는 영향을 도시한다. 챔버(10)의 직경은 12 인치이며, 안테나와 웨이퍼 사이의 간격은 2인치이다. 유전체 덮개판(28)의 외형을 변형시키는 것은 안테나(14)의 외형을 변형시키는 것과 같은 영향을 준다. (즉, 웨이퍼 가장자리의 이온 플럭스가 웨이퍼 중심의 그것보다 상대적으로 증가한다.) 유전체 덮개판의 외형을 변형시키면 두가지 방식으로 플라즈마의 분포에 영향을 준다. 즉, 외형을 변형시키면 플라즈마 생성 부피(volume)의 형상을 변화시키고, 또한 플라즈마의 전자기장 분포에 영향을 준다. 이온 플럭스 균일도를 조작할 수 있는 기능은 가스 흐름에 의한 영향을 상쇄할 수 있게 한다. 제작의 관점에서 보면, 유전체 덮개판(28)을 변형하는 것이 안테나(14)를 변형하는 것보다 쉽다.
제11도는 전도성 링이 웨이퍼에서의 이온 플럭스 분포에 미치는 영향을 도시한다. 8.75 인치의 챔버(10) 내에 전체 직경 7인치의 5권선 판형 안테나를 사용한 것으로 가정하고 시뮬레이션을 수행하였다. 전도성 링(50)은 유전체 덮개판의 변형과 유사한 영향을 준다. 링(50)은 정전기 분포 및 전자기장 분포에 영향을 미친다.
제12도는 챔버의 높이가 웨이퍼에서의 전기장, 이온 플럭스 및 이온 플럭스 균일도에 미치는 영향을 도시한다. 8.75 인치 직경의 챔버(10) 내에 전체 직경 7인치의 5권선 평판 안테나를 사용한 것으로 가정하고 시뮬레이션을 수행하였다. 단지 챔버(10)의 높이를 약간 증가시키는 것만으로, 이온 플럭스의 변화를 본질적으로 가져오지 않은 채, 웨이퍼에서의 잔류 전자기장에 의한 불필요한 웨이퍼의 발열을 쉽게 감소시킬 수 있다. 주어진 안테나의 구조와 챔버의 직경에 대해 최상의 이온 플럭스 균일도를 가져오는 최적의 챔버 높이가 정해져 있다.
본 발명과 그 장점을 상세히 설명하였으나, 이하의 특허 청구의 범위에 의하여 정의되는 본 발명의 사상과 범위내에서 다양한 변조, 대체와 변경을 가할 수 있음을 이해하여야 한다.
제1도는 본 발명의 플라즈마 소스를 사용하는 플라즈마 처리 챔버의 개략적 부분 단면도.
제2도는 플라즈마 소스에 인접하여 원뿔형 유전체 덮개판을 포함하는 다른 구성도.
제3도는 광역 플라즈마용 플라즈마 소스의 다른 실시예.
제4도는 플라즈마 소스용 RF 전원 공급기와 웨이퍼 척(wafer chuck) 간의 마스터-슬레이브 링크(master-slave link)를 가진 플라즈마 소스의 다른 실시예.
제5도는 안테나 하우징의 표면에 전도성 판을 가진 플라즈마 소스의 다른 구성도.
제6도는 원뿔형 안테나를 가진 플라즈마 소스의 다른 구성도.
제7도는 본 발명의 플라즈마 소스와 웨이퍼 척 사이의 간격 조정 메카니즘.
제8도는 안테나의 외형이 웨이퍼에서의 이온 플럭스 분포에 주는 효과의 그래프.
제9도는 안테나의 외형이 웨이퍼에서의 이온 플럭스 분포에 주는 효과의 그래프.
제10도는 유전체 덮개판의 형상이 웨이퍼에서의 이온 플럭스 분포에 주는 효과의 그래프.
제11도는 전도성 판이 웨이퍼에서의 이온 플럭스 분포에 주는 효과의 그래프.
제12도는 챔버의 높이가 웨이퍼에서의 전기장, 이온 플럭스 및 이온 플럭스 균일도에 주는 효과의 그래프.
〈도면의 주요 부분에 대한 부호의 설명〉
10 : 플라즈마 처리 챔버 12 : 유도 결합 플라즈마 소스
14 : 안테나 16 : 에폭시
18 : 하우징 28 : 유전체 덮개판
30 : 플라즈마 형성 영역 40 : RF 전원 공급기
42 : RF 정합 회로

Claims (23)

  1. 플라즈마 처리 장치에 있어서,
    처리 챔버; 및
    상기 처리 챔버에 장착된 유도 결합 플라즈마 소스를 포함하며,
    상기 유도 결합 플라즈마 소스는 밀봉제로 캡슐화된 안테나를 구비하며, 상기 안테나와 상기 밀봉제는 밀폐봉입(hermetically sealed)되는 것을 특징으로 하는 플라즈마 처리 장치.
  2. 제1항에 있어서, 상기 캡슐화된 안테나를 에워싸는 구조적으로 단단한 하우징을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제1항에 있어서, 상기 안테나는 평판형(planar)인 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제1항에 있어서, 상기 캡슐화된 안테나의 제1 면상에 유전체 덮개판을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제1항에 있어서, 상기 캡슐화된 안테나에 접속되는 하나 또는 그 이상의 정합 회로망 및 상기 캡슐화된 안테나에 RF 전원을 공급하기 위하여 상기 정합 회로망에 접속되는 하나 또는 그 이상의 RF 전원 공급기를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 제1항에 있어서,
    상기 처리 챔버 내에 위치한 웨이퍼를 지지하기 위한 척(chuck);
    정합 회로를 통해 상기 척에 접속되는 제1 RF 전원 공급기; 및
    상기 척용 상기 제1 RF 전원 공급기와 상기 안테나에 접속된 제2 RF 전원 공급기 사이에 접속되는 위상 전이기
    를 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  7. 제2항에 있어서, 상기 하우징의 후면과 인접 측면에 플라즈마가 형성되는 것을 방지하기 위하여 상기 하우징의 제1 측면 이외의 부분을 에워싸는 전도성 차폐 막을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  8. 제1항에 있어서, 상기 처리 챔버의 측벽상에 위치한 다수의 다극 자석을 더 포함하는 것을 특징으로 하는 플라즈마 처리 장치.
  9. 플라즈마 처리 챔버에 있어서,
    상기 플라즈마 처리 챔버의 내벽에 부착되는 유도 결합 플라즈마 소스를 포함하며;
    상기 유도 결합 플라즈마 소스가,
    에폭시에 캡슐화된 나선형(spiral) 안테나;
    상기 에폭시를 에워싸는 구조적으로 단단한 하우징; 및
    다수의 구멍을 갖는 유전체 덮개판
    을 포함하며,
    상기 에폭시와 안테나는 밀폐봉입되며, 상기 유전체 덮개판은 상기 하우징의 제1 면에 인접하여 위치하는
    것을 특징으로 하는 플라즈마 처리 챔버.
  10. 제9항에 있어서 상기 나선형 안테나가 상기 플라즈마 처리 챔버의 상기 내벽을 관통하여 연장된 다수의 리드선(leads)을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  11. 제10항에 있어서;
    상기 다수의 리드선에 접속되는 적어도 하나의 정합 회로망; 및
    상기 적어도 하나의 정합 회로에 접속되어 상기 나선형 안테나에 RF 전원을 공급하는 적어도 하나의 RF 전원 공급기
    를 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  12. 제5항 또는 제11항에 있어서,
    상기 적어도 하나의 RF 전원 공급기는 주-종 구성으로 접속된 주 전원 공급기와 하나 또는 그 이상의 종 전원 공급기를 포함하며,
    상기 주-종 구성에는 상기 주 전원 공급기와 상기 하나 또는 그 이상의 종 전원 공급기와의 사이에 위치한 위상 전이기가 포함되는
    것을 특징으로 하는 플라즈마 처리 챔버.
  13. 제4항 또는 제9항에 있어서, 상기 유전체 덮개판의 외형이 등고선형(contoured)을 이루는 것을 특징으로 하는 플라즈마 처리 챔버.
  14. 제1항 또는 제9항에 있어서, 상기 안테나의 외형이 등고선형을 이루는 것을 특징으로 하는 플라즈마 처리 챔버.
  15. 제2항 또는 제9항에 있어서, 상기 하우징의 상기 제1 면에 위치하는 적어도 하나의 전기적 전도성 링(ring)을 더 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  16. 제1항 또는 제9항에 있어서, 상기 안테나는 캐패시터 및 절연체에 의하여 서로 분리되는 다수의 코일 분절(segments)을 포함하는 것을 특징으로 하는 플라즈마 처리 챔버.
  17. 플라즈마 처리 챔버내에 유도 결합 플라즈마 소스를 결합시키는 방법에 있어서,
    웨이퍼를 지지하기 위한 척(chuck)을 포함하는 플라즈마 처리 챔버를 제공하는 단계;
    에폭시로 나선형 안테나를 캡슐화시키는 단계;
    구조적으로 단단한 하우징으로 상기 안테나와 에폭시를 에워싸는 단계;
    상기 에폭시를 밀폐봉입시키는 단계;
    상기 플라즈마 처리 챔버의 내벽에 상기 하우징을 부착하는 단계; 및
    상기 하우징의 제1 면에 유전체 덮개판을 제공하는 단계
    를 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  18. 제17항에 있어서,
    상기 나선형 안테나에 RF 전원을 공급하는 단계; 및
    플라즈마를 형성시키기 위하여 상기 플라즈마 처리 챔버에 적어도 하나의 처리 가스를 공급하는 단계
    를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  19. 제18항에 있어서, 상기 나선형 안테나에 공급되는 RF 전원을 시변조(time modulating)하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  20. 제17항에 있어서, 상기 하우징과 상기 척 사이의 간격을 조정하기 위하여 상기 하우징과 상기 내벽 사이에 스페이서(spacer)를 배치시키는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  21. 제17항에 있어서, 상기 유전체 덮개판의 외형이 등고선형을 이루게 하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  22. 제17항에 있어서, 상기 나선형 안테나의 외형이 원뿔형을 이루도록 하는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
  23. 제17항에 있어서, 상기 하우징의 상기 제1면에 전기적 전도성 판을 위치시키는 단계를 더 포함하는 것을 특징으로 하는 유도 결합 플라즈마 소스 결합 방법.
KR1019950017440A 1994-06-30 1995-06-26 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법 KR100373815B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/269,414 1994-06-30
US08/269,414 US5580385A (en) 1994-06-30 1994-06-30 Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber

Publications (2)

Publication Number Publication Date
KR960002631A KR960002631A (ko) 1996-01-26
KR100373815B1 true KR100373815B1 (ko) 2003-05-01

Family

ID=23027137

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950017440A KR100373815B1 (ko) 1994-06-30 1995-06-26 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법

Country Status (6)

Country Link
US (2) US5580385A (ko)
EP (1) EP0690666B1 (ko)
JP (1) JPH08195297A (ko)
KR (1) KR100373815B1 (ko)
DE (1) DE69504254T2 (ko)
TW (1) TW501842U (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100721572B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
KR100721573B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW293983B (ko) * 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5824605A (en) * 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
KR100290813B1 (ko) * 1995-08-17 2001-06-01 히가시 데쓰로 플라스마 처리장치
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6245202B1 (en) * 1996-04-12 2001-06-12 Hitachi, Ltd. Plasma treatment device
EP0805475B1 (en) * 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) * 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
US5897712A (en) * 1996-07-16 1999-04-27 Applied Materials, Inc. Plasma uniformity control for an inductive plasma source
US6534922B2 (en) 1996-09-27 2003-03-18 Surface Technology Systems, Plc Plasma processing apparatus
KR100505176B1 (ko) 1996-09-27 2005-10-10 서페이스 테크놀로지 시스템스 피엘씨 플라즈마가공장치
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6033585A (en) * 1996-12-20 2000-03-07 Lam Research Corporation Method and apparatus for preventing lightup of gas distribution holes
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6345588B1 (en) * 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
JP3367077B2 (ja) * 1997-10-21 2003-01-14 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置
JPH11135438A (ja) * 1997-10-28 1999-05-21 Nippon Asm Kk 半導体プラズマ処理装置
US6136165A (en) * 1997-11-26 2000-10-24 Cvc Products, Inc. Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
US5998933A (en) * 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
TW434636B (en) 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
WO2000017906A2 (en) * 1998-09-22 2000-03-30 Applied Materials, Inc. Rf plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6440220B1 (en) * 1998-10-23 2002-08-27 Goodrich Corporation Method and apparatus for inhibiting infiltration of a reactive gas into porous refractory insulation
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
US6237526B1 (en) 1999-03-26 2001-05-29 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
JP2001035808A (ja) * 1999-07-22 2001-02-09 Semiconductor Energy Lab Co Ltd 配線およびその作製方法、この配線を備えた半導体装置、ドライエッチング方法
DE19955671B4 (de) * 1999-11-19 2004-07-22 Muegge Electronic Gmbh Vorrichtung zur Erzeugung von Plasma
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6632322B1 (en) * 2000-06-30 2003-10-14 Lam Research Corporation Switched uniformity control
US6494998B1 (en) * 2000-08-30 2002-12-17 Tokyo Electron Limited Process apparatus and method for improving plasma distribution and performance in an inductively coupled plasma using an internal inductive element
US6471830B1 (en) 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6634313B2 (en) 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6755150B2 (en) 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
KR100396214B1 (ko) * 2001-06-19 2003-09-02 주성엔지니어링(주) 초단파 병렬 공명 안테나를 구비하는 플라즈마 공정장치
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US7100532B2 (en) * 2001-10-09 2006-09-05 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7084832B2 (en) * 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US7132996B2 (en) * 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
TWI306311B (en) * 2002-06-21 2009-02-11 Sanyo Electric Co Thin film transistor and method for producing thin film transistor
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
DE10308539B3 (de) * 2003-02-27 2004-06-03 Bauer Maschinen Gmbh Fräsvorrichtung zum Fräsen von Schlitzen im Boden
US20040237897A1 (en) * 2003-05-27 2004-12-02 Hiroji Hanawa High-Frequency electrostatically shielded toroidal plasma and radical source
CN1934913B (zh) * 2004-03-26 2010-12-29 日新电机株式会社 等离子体发生装置
KR20060073737A (ko) * 2004-12-24 2006-06-29 삼성전자주식회사 플라즈마 장치
CN100372075C (zh) * 2005-04-15 2008-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 一种电感耦合等离子体装置
KR101313705B1 (ko) * 2005-06-24 2013-10-01 주성엔지니어링(주) 저온 폴리 실리콘의 증착방법 및 이를 위한 플라즈마발생장치
US7591232B2 (en) * 2006-03-31 2009-09-22 Tokyo Electron Limited Internal coil with segmented shield and inductively-coupled plasma source and processing system therewith
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
JP5215685B2 (ja) * 2008-02-14 2013-06-19 三井造船株式会社 原子層成長装置
WO2010102125A2 (en) * 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US20110278260A1 (en) 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
WO2013065043A1 (en) * 2011-10-30 2013-05-10 Paskal Technologies Agriculture Cooperative Society Ltd. Self-learning of plant growth strategy in a greenhouse
RU2503079C1 (ru) 2012-04-24 2013-12-27 Евгений Владимирович Берлин Генератор плазмы (варианты)
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9082589B2 (en) * 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10840068B2 (en) * 2017-02-15 2020-11-17 Yield Engineering Systems, Inc. Plasma spreading apparatus and method of spreading plasma in process ovens
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7019276B2 (ja) * 2018-06-25 2022-02-15 東芝三菱電機産業システム株式会社 活性ガス生成装置及び成膜処理装置
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11094508B2 (en) * 2018-12-14 2021-08-17 Applied Materials, Inc. Film stress control for plasma enhanced chemical vapor deposition
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2021113387A1 (en) 2019-12-02 2021-06-10 Lam Research Corporation Impedance transformation in radio-frequency-assisted plasma generation
US11994542B2 (en) 2020-03-27 2024-05-28 Lam Research Corporation RF signal parameter measurement in an integrated circuit fabrication chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0467046A2 (de) * 1990-07-17 1992-01-22 Balzers Aktiengesellschaft Aetz- oder Beschichtungsanlagen

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2085482B (en) * 1980-10-06 1985-03-06 Optical Coating Laboratory Inc Forming thin film oxide layers using reactive evaporation techniques
US4675690A (en) * 1984-05-25 1987-06-23 Revlon, Inc. Conical spiral antenna
JPS62133724A (ja) * 1985-12-06 1987-06-16 Toshiba Corp バイアススパツタ薄膜の製造方法
US5036252A (en) * 1988-04-26 1991-07-30 Hauzer Holding Bv Radio frequency ion beam source
GB8905075D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Electrode assembly and apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5200595A (en) * 1991-04-12 1993-04-06 Universite De Sherbrooke High performance induction plasma torch with a water-cooled ceramic confinement tube
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
US5260236A (en) * 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5231334A (en) * 1992-04-15 1993-07-27 Texas Instruments Incorporated Plasma source and method of manufacturing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
GB9321489D0 (en) * 1993-10-19 1993-12-08 Central Research Lab Ltd Plasma processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0467046A2 (de) * 1990-07-17 1992-01-22 Balzers Aktiengesellschaft Aetz- oder Beschichtungsanlagen

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100721572B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치
KR100721573B1 (ko) 2005-01-20 2007-05-23 삼성에스디아이 주식회사 유도결합형 플라즈마 처리장치

Also Published As

Publication number Publication date
US5580385A (en) 1996-12-03
EP0690666B1 (en) 1998-08-26
TW501842U (en) 2002-09-01
KR960002631A (ko) 1996-01-26
US5591493A (en) 1997-01-07
JPH08195297A (ja) 1996-07-30
EP0690666A1 (en) 1996-01-03
DE69504254D1 (de) 1998-10-01
DE69504254T2 (de) 1999-04-08

Similar Documents

Publication Publication Date Title
KR100373815B1 (ko) 플라즈마처리챔버에유도결합플라즈마소스를결합한장치및그방법
US6451161B1 (en) Method and apparatus for generating high-density uniform plasma
EP0413282B1 (en) Method and apparatus for producing magnetically-coupled planar plasma
US5619103A (en) Inductively coupled plasma generating devices
KR100238627B1 (ko) 플라즈마 처리장치
US5430355A (en) RF induction plasma source for plasma processing
US7854213B2 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
JP3373885B2 (ja) プラズマ源と製造方法
US5982100A (en) Inductively coupled plasma reactor
US6080271A (en) Plasma source for generating inductively coupled, plate-shaped plasma, having magnetically permeable core
KR101418438B1 (ko) 플라즈마 발생장치
US7426900B2 (en) Integrated electrostatic inductive coupling for plasma processing
US20040040939A1 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
JPH02235332A (ja) プラズマ処理装置
KR0142041B1 (ko) 플라스마발생장치 및 방법
CN101080133B (zh) 感应耦合等离子体反应器
TWI439186B (zh) 化合物電漿來源及利用該來源以解離氣體的方法
JP2004533096A (ja) 誘導結合高密度プラズマ源
US6674241B2 (en) Plasma processing apparatus and method of controlling chemistry
KR100806522B1 (ko) 유도 결합 플라즈마 반응기
US6824363B2 (en) Linear inductive plasma pump for process reactors
KR100391063B1 (ko) 유도결합으로 보강된 축전결합형 플라즈마 발생장치 및플라즈마 발생방법
KR100476902B1 (ko) 균일 분포 플라즈마를 형성하는 대면적 플라즈마안테나(lapa)및 이를 포함하는 플라즈마 발생장치
KR100772452B1 (ko) 다중 무선 주파수 안테나를 갖는 유도 결합 플라즈마반응기
KR20090021913A (ko) 유도 결합 플라즈마 소스가 내장된 서셉터 및 이를 구비한플라즈마 처리 챔버

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110201

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee