KR20230165920A - 패터닝된 유기금속 포토레지스트 및 패터닝 방법 - Google Patents

패터닝된 유기금속 포토레지스트 및 패터닝 방법 Download PDF

Info

Publication number
KR20230165920A
KR20230165920A KR1020237039643A KR20237039643A KR20230165920A KR 20230165920 A KR20230165920 A KR 20230165920A KR 1020237039643 A KR1020237039643 A KR 1020237039643A KR 20237039643 A KR20237039643 A KR 20237039643A KR 20230165920 A KR20230165920 A KR 20230165920A
Authority
KR
South Korea
Prior art keywords
radiation
coating
alkyl
developer
group
Prior art date
Application number
KR1020237039643A
Other languages
English (en)
Other versions
KR102664561B1 (ko
Inventor
미카엘 코크시스
피터 데 쉐퍼
마이클 그리어
수-하오 창
Original Assignee
인프리아 코포레이션
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=70279491&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR20230165920(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 인프리아 코포레이션, 도쿄엘렉트론가부시키가이샤 filed Critical 인프리아 코포레이션
Publication of KR20230165920A publication Critical patent/KR20230165920A/ko
Application granted granted Critical
Publication of KR102664561B1 publication Critical patent/KR102664561B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Plasma & Fusion (AREA)

Abstract

유기금속 방사선 민감성 물질로 형성된 초기 패터닝된 구조를 처리하기 위한 헹굼 공정이 기술되며, 여기서 헹굼 공정은 패터닝된 구조를 더욱 균일하게 하기 위해 패턴 현상 후 남아있는 조성물의 일부를 제거하여 패터닝된 구조의 더 많은 부분이 사양을 충족시킬 수 있도록 할 수 있다. 방사선 민감성 물질은 알킬 주석 산화물 수산화물 조성물을 포함할 수 있다. 헹굼 공정은 극자외광을 이용한 미세 구조의 패터닝을 개선시키는 데 효과적으로 사용될 수 있다.

Description

패터닝된 유기금속 포토레지스트 및 패터닝 방법{PATTERNED ORGANOMETALLIC PHOTORESISTS AND METHODS OF PATTERNING}
관련 출원에 대한 상호 참조
본 출원은 "Patterned Organometallic Photoresists and Method of Patterning"이라는 발명의 명칭으로 Kocsis 등에 의해 2018년 10월 17일에 출원되어 함계 계류 중인 미국 가특허 출원 제62/746,808호에 우선권을 주장하며, 상기 문헌은 본 명세서에 참조로 포함된다.
분야
본 개시내용은 패터닝된 유기금속 포토레지스트 및 방사선 기반 리소그래피, 예를 들어 극자외선(EUV) 리소그래피 또는 e-빔 리소그래피를 사용하여 기판 상에 유기금속 포토레지스트를 패터닝하는 방법에 관한 것이다.
패터닝 기술은 복잡하고 미세한 구조를 가진 반도체 기반 및 기타의 전자 장치를 형성하는 데 사용할 수 있다. 마이크로 및 나노 제조 분야에서 집적 회로는 매우 작아졌다. 이것은 부분적으로 회로 크기의 꾸준한 감소와 회로 구성 요소 밀도의 증가에 의해 주도되었다.
포토리소그래피 기술은 이러한 집적 회로를 제작하고 제조하는 데 사용되었다. 포토리소그래피에서 포토레지스트의 패터닝은 일반적으로 포토레지스트를 선택된 에너지 원에 마스크를 통해 노광시켜 잠상을 기록하고, 이후 포토레지스트의 선택된 영역을 현상 및 제거하는 것을 포함하는 여러 단계를 포함한다. 포토레지스트의 노광된 영역은 변형되어 패터닝된 영역이 선택적으로 제거가능하도록 만든다. 포지티브 톤 포토레지스트의 경우 노광된 영역이 제거되어 미노광된 영역이 남는다. 네거티브 톤 포토레지스트의 경우 미노광된 영역이 제거되어 노광된 영역이 남는다.
극자외선(EUV) 리소그래피는 반도체 제조에서 피처 크기를 지속적으로 줄일 수 있는 특정 포토리소그래피 기술이다. EUV 방사선의 단파장(λ = 13.5 nm)은 고밀도의 광 패턴을 생성함으로써, 포토리소그래피에 의해 작고 조밀한 피처를 제작할 수 있다. EUV 포토리소그래피 공정에서는 포토레지스트를 박막으로 증착하고 EUV 방사선 패턴에 의해 노광시켜 잠상을 생성한 다음, 유기 용매와 같은 액체로 현상하여 현상된 레지스트 패턴을 생성한다.
제1 양태에서, 본 발명은 기판 표면 상의 방사선 민감성 유기금속 레지스트 필름에 패턴을 형성하는 방법에 관한 것으로, 상기 방법은 초기 패터닝된 구조를 헹굼 용액으로 헹구어 현상된 포토레지스트의 일부를 제거하여 패턴 치수, 품질 및/또는 해상도를 제어하고 조정된 패터닝된 구조를 형성하는 단계를 포함한다. 상기 헹굼 단계는 일반적으로 현상된 포토레지스트의 노광부족 부분의 제거를 포함한다. 일부 실시양태에서, 초기 패터닝된 구조는 (i) 기판 표면을 유기금속 방사선 민감성 레지스트 물질로 코팅하여 방사선 민감성 레지스트 필름을 형성하고, (ii) 방사선 민감성 레지스트 필름을 패터닝된 방사선에 노광시켜 노광된 부분과 미노광된 부분이 있는 노광된 필름을 형성하고, (iii) 노광된 필름을 현상액과 접촉시켜, 일반적으로 미노광된 부분 또는 노광된 부분 중 하나가 현상 용액에 선택적으로 용해되는 현상된 포토레지스트를 형성함으로써 형성될 수 있다. 상기 요약은 각각의 예시된 실시양태 또는 본 개시내용의 모든 구현예를 설명하기 위한 것이 아니다.
본 출원에 포함된 도면은 명세서에 통합되고 그 일부를 형성한다. 이들은 본 개시내용의 실시양태들을 예시하고, 설명과 함께, 본 개시내용의 원리를 설명하는 역할을 한다. 도면은 특정 실시양태들의 예시일 뿐이며 본 개시내용을 제한하지 않는다.
도 1은 본 개시내용의 실시양태들에 따른 포토리소그래피 공정에 대한 흐름도이다.
도 2는 잠상을 갖는 방사선 패터닝된 구조의 개략적인 사시도이다.
도 3은 도 2의 구조의 측면도이다.
도 4는 패터닝된 구조를 형성하기 위해 미조사된 코팅 물질을 제거하기 위해 잠상을 현상한 후의 도 2의 구조의 개략적인 사시도이다.
도 5는 도 4의 패터닝된 구조의 측면도이다.
도 6은 패터닝된 구조를 형성하기 위해 조사된 코팅 물질을 제거하기 위해 잠상을 현상한 후의 도 2의 구조의 개략적인 사시도이다.
도 7은 도 6의 패터닝된 구조의 측면도이다.
도 8은 EUV 리소그래피를 통해 패터닝된 16 nm 간격을 갖는 32 nm 피치 라인 각각이 서로 인접하게 배열된 4개의 주사 전자 현미경 사진 세트로, 가장 왼쪽의 이미지는 현상 이후 헹굼 처리가 없는 것이고, 왼쪽에서 두 번째 이미지는 처리 후 10 초 동안 헹굼 용액으로의 헹굼을 포함하는 것이고, 왼쪽에서 세 번째 이미지는 처리 후 20 초 동안 헹굼 용액으로의 헹굼을 포함하는 것이고, 가장 오른쪽의 이미지는 처리 후 30 초 동안 헹굼 용액으로의 헹굼을 포함하는 것이다.
도 9는 32 nm 피치 라인(P32)을 형성하기 위해 EUV 리소그래피를 통해 패터닝된 유기금속 레지스트가 있는 실리콘 기판의 16개의 주사 전자 현미경(SEM) 사진의 배열이며, 16 nm 라인 간격에 해당하는 맨 위 4개의 SEM 이미지(DOM1), 17 nm 라인 간격에 해당하는 두번째 행의 4개의 SEM 이미지(DOM2), 19 nm 라인 간격에 해당하는 세번째 행의 SEM 이미지(DOM4), 20 nm 라인 간격에 해당하는 네번째 행의 SEM 이미지(DOM5)이고, 여기서 왼쪽에서 오른쪽으로 각 행에 있는 4개의 이미지는 각각 헹굼 없음, 10 초 TMAH 헹굼, 20 초 TMAH 헹굼 및 30 초 TMAH 헹굼에 해당한다.
본 개시내용의 실시양태들은 다양한 수정 및 대안적인 형태가 가능하지만, 그 세부사항은 도면에서 예로서 도시되었으며 상세하게 설명될 것이다. 그러나, 그 의도는 설명된 특정 실시양태로 개시내용을 제한하려는 것이 아님을 이해해야 한다. 반대로, 그 의도는 본 개시내용의 정신 및 범위 내에 있는 모든 수정, 등가물 및 대안을 포괄하는 것이다.
유기금속 방사선 민감성 조성물을 사용하여 형성된 패턴의 개선된 처리는 매우 미세한 라인들로 형성된 패턴의 개선된 균일성과 재현성을 제공한다. 유기금속 기반 방사선 민감성 레지스트는 특히 극자외선을 사용하여 매우 미세한 패턴을 형성할 수 있는 능력을 제공한다. 특히, 알킬 주석 산화물 수산화물 조성물은 상업적으로 허용되는 처리 방법에 동원될 수 있다. 매우 높은 에칭 대비를 달성하는 능력과 함께 이들 조성물의 상당한 EUV 흡수는 매우 미세한 패터닝 형성을 제공한다. 또한, 이들 조성물은 네거티브 레지스트 또는 포지티브 레지스트로 기능할 수 있다. 포지티브 레지스트 및 네거티브 레지스트와 같은 잠재적 처리의 이러한 비정상적인 속성은 리소그래피 패턴의 균일성을 개선하도록 순차적인 현상제 사용을 통해 활용되었다. 특히, 패턴을 형성하기 위해 제1 현상 단계를 수행할 수 있으며, 제1 현상에 의해 제거되지 않은 물질에 대한 제2 가벼운 현상은 제2 현상 용액을 이용해 초기 현상된 패턴을 정리할 수 있다. 제2 현상 단계는 부분적으로 조사된 물질 및 제1 현상 단계 후에 남아있는 패턴의 가장자리를 제거하는 것을 포함할 수 있다. 일반적으로 하나의 현상액은 유기 용매이고 두 번째 현상액은 알칼리 수용액이다. 이런 식으로, 사양에 따른 성능 달성 실패에 대한 제품 거부율을 줄일 수 있는 개선된 패터닝이 달성될 수 있다. 제품의 고장으로 인한 폐기물을 줄이는 능력은 상업적 생산에 상당한 가치를 제공할 수 있다.
작은 방사선 기반 리소그래피 피처를 형성하기 위한 패터닝은 극자외선과 같은 방사선을 패턴에 기반한 마스크를 통해 방사선 민감성 물질에 투영하는 것을 포함한다. 물론, 조사 공정은 수학적으로 정확한 공정이 아니다. 따라서, 패턴의 가장자리 근처에서, 마스크의 불완전성 및 일반적으로 조사 불균일, 뿐만 아니라 확률적 및/또는 공정 변동과 같은 다양한 잠재적 원인으로 인해 패턴이 흐려질 수 있다. 즉, 현상액을 이용하여 패턴을 현상하면, 현상된 패턴에 해당 불완정성이 존재할 수 있다. 본 명세서에 기재된 알킬 주석 산화물 수산화물 조성물의 경우, 미조사된 조성물은 일반적으로 유기 용매에 용해되고, 조사된 레지스트는 일반적으로 염기성(즉, 알칼리성) 수용액에 용해된다. 현상 단계 후에 남아있는 물질은 남아있는 조성물을 제거하도록 설계된 현상제로 처리될 수 있다. 단시간과 같은 온화한 조건에서 제2 차등 현상을 수행함으로써, 부분적으로 조사된 물질은 제1 현상 단계에 기초하여 소량의 나머지 패턴과 함께 제거될 수 있다. 제2 현상 단계는 패터닝 공정의 균일성을 개선하여 해당 제품이 사양을 충족하지 못하는 것을 줄이는 경향이 있다.
최근 개발된 유기금속 방사선 레지스트 조성물을 이용하여 매우 작은 피처의 패터닝이 달성되었다. 특히, 알킬 주석 산화물 수산화물 조성물은, 적어도 부분적으로 주석과 관련된 높은 EUV 흡수 및 알킬-주석 결합의 방사선 구동 단편화시 매우 높은 에칭 대비에 기초하여, 바람직한 패터닝 성능을 제공한다. 알킬 주석 산화물 수산화물 조성물은 방사선 노광 영역이 초기 현상 후에 남아있는 네거티브 레지스트, 또는 초기 현상 후에 미노광된 영역이 남아있는 포지티브 레지스트로 기능할 수 있는 부가된 특징을 제공한다. 어쨌든 현상 공정은 구조물의 나머지 부분을 크게 변경하지 않는 처리 조건을 포함하기 위한 것이다. 알킬 주석 산화물 수산화물 조성물의 경우, 네거티브 레지스트 패터닝은 미조사된 레지스트를 용해시키는 유기 용매 현상제로 수행될 수 있고, 포지티브 레지스트 패터닝은 조사된 레지스트를 용해시키는 수성 알칼리성 조성물로 수행될 수 있다. 네거티브 톤 처리 또는 포지티브 톤 처리는 현재의 조합된 처리에 효과적으로 활용되어 매우 작은 규모에서 보다 일관되고 균일한 패턴을 생성한다.
기존 공정 장비와의 향상된 호환성과 함께 일관된 패터닝 성능을 위해, 모노알킬 주석 산화물 수산화물 조성물은 작은 패턴 형성에 특히 효과적인 것으로 밝혀졌다. 모노알킬 주석 산화물 수산화물 조성물은 일반적으로 화학식 RSnX3로 나타내는 조성물로부터 합성되며, 여기서 R은 알킬 기이고, X는 NR'R"(아미드 기) 또는 OR0(알콕사이드 기)와 같은 가수분해성 기이며, 여기서 R', R" 및 R0는 하이드로카르빌 기이다. 알킬 주석 산화물 수산화물을 형성하기 위한 반응은 용액 중에서 또는 대안적으로는 처리될 기판 상에 코팅한 후 현장에서(in-situ) 수행될 수 있다. 원하는 처리가 진행될 수 있지만, 현재의 원하는 처리 방법은 모노알킬 주석 트리알콕사이드 조성물을 침착시키고 후속적으로 현장 가수분해하여 산화물 수산화물 조성물을 형성함과 함께 쉽게 제거될 수 있는 알코올 증기 부산물을 방출시키는 것을 포함한다. 다음 논의에서는 특정 처리에 대한 보다 자세한 내용과 함께 보다 일반적인 논의를 제공한다.
도 1을 참조하면, 개선된 미세 패터닝을 위한 본 공정, 극자외선(EUV) 리소그래피 공정과 같은 방사선 기반 패터닝 공정의 개요에서, 포토레지스트 물질은 기판(01)에 박막으로 증착되거나 코팅되고, 노광 전/소프트베이킹되고(02), 방사선 패턴으로 노광되어 잠상을 생성하고(03), 노광 후 베이킹되고(04), 이후 액체, 일반적으로 유기 용매로 현상하여(05), 현상된 레지스트의 패턴을 생성한다. 이 공정은 패터닝된 피처들 간에 잔류하거나 노광되지 않거나 노광이 부족한 유기금속 포토레지스트를 남길 수 있으며, 이로 인해 패터닝된 프로파일의 품질이 왜곡될 수 있다. 따라서, 본 개시내용의 실시양태에 따른 공정은 잔류물을 제거하기 위해 포토레지스트를 헹구는 것(06)을 추가로 포함할 수 있다. 이 공정은 아래에 자세히 설명되어 있다.
코팅
유기금속 레지스트 조성물의 코팅은 선택된 기판 상에의 전구체 용액의 증착을 통해 형성될 수 있다. 기판은 일반적으로 코팅 물질이 증착될 수 있는 표면을 제공하고, 기판은 표면이 최상층과 관련된 복수의 층을 포함할 수 있다. 기판 표면은 코팅 물질의 접착을 위한 표면을 준비하도록 처리될 수 있다. 표면을 준비하기 전에, 표면을 적절하게 청소 및/또는 매끄럽게 할 수 있다. 적합한 기판 표면은 임의의 합리적인 물질을 포함할 수 있다. 관심있는 일부 기판으로는 예를 들어 실리콘 웨이퍼, 실리카 기판, 기타 무기 물질, 유기 중합체와 같은 중합체 기판, 이들의 복합물 및 기판의 표면 및/또는 층에 걸친 이들의 조합을 포함한다. 임의의 합리적인 모양의 구조를 사용할 수 있지만, 비교적 얇은 원통형 구조와 같은 웨이퍼가 편리할 수 있다. 중합체 기판 또는 비-중합체 구조물에 중합체 층이 있는 기판은 저비용 및 가요성을 기반으로 특정 응용 분야에 바람직할 수 있으며, 본 명세서에 기재된 패턴가능한 유기금속 물질의 처리에 사용할 수 있는 상대적으로 낮은 처리 온도를 기준으로 적합한 중합체를 선택할 수 있다. 적합한 중합체로는 예를 들어 폴리카보네이트, 폴리이미드, 폴리에스테르, 폴리알켄, 이들의 공중합체 및 이들의 혼합물을 포함할 수 있다. 일반적으로, 특히 고해상도 애플리케이션의 경우 기판이 평평한 표면을 갖는 것이 바람직하다.
유기금속 방사선 민감성 레지스트는 대략 화학식 RzSnO(2-z/2-x/2)(OH)x (상기 식에서, 0 < x < 3, 0 < z ≤ 2, x + z ≤ 4, R은 주석 원자와 탄소 결합을 형성하는 하이드로카르빌 기임)로 나타내는 알킬 주석 산화물 수산화물과 같은 알킬 주석 조성물을 기반으로 현상되었다. 이들 조성물의 특히 효과적인 형태는 모노알킬주석 산화물 수산화물이며, 상기 식에서 z = 1이다. 알킬 주석 기반 포토레지스트 물질은 Meyers 등의 미국 특허 제9,310,684호(발명의 명칭: Organometallic Solution Based High Resolution Patterning Compositions), Meyers 등의 미국 특허 출원 공개 제2016/0116839 A1호(이하, '612 출원)(발명의 명칭: Organometallic Solution Based High Resolution Patterning Compositions and Corresponding Methods), 및 Meyers 등의 미국 특허 출원 공개 제2017/0102612 A1호(발명의 명칭: Organotin Oxide Hydroxide Patterning Compositions, Precursors, and Patterning)에 추가로 설명되어 있으며, 이들 각각은 본 명세서에 참고로 포함된다.
알킬 주석 아미드 및/또는 알킬 주석 알콕사이드의 현장 가수분해는 알킬 주석 산화물 수산화물 조성물의 침착을 제공한다. 본 명세서의 논의는 모노알킬 주석 조성물에 초점을 맞추지만, 보다 일반적인 알킬 주석 조성물이 유사하게 사용될 수 있다. 아미드 또는 알콕사이드 기와 같은 가수분해성 기(X)로 조성물을 변형시킬 수 있는 가수분해 및 축합 반응은 다음 반응으로 표시된다:
RSnX3 + 3 H2O → RSn(OH)3 + 3 HX ,
RSn(OH)3 → RSnO(1.5-(x/2))OHx + (x/2) H2O .
가수분해 생성물 HX가 충분히 휘발성인 경우, 기판 코팅 공정 동안 수증기로 현장 가수분해를 수행할 수 있으며 이에 상응하는 부산물 HX를 제거할 수 있다.
모노알킬 주석 트리아미드 조성물은 일반적으로 화학식 RSn(NR')3, 여기서 R 및 R'는 독립적으로 O, N, Si 및/또는 할로겐 원자를 함유하는 하나 이상의 헤테로원자 작용기로 임의로 치환되는 하나 이상의 탄소 원자를 갖는 탄소수 1 내지 31의 알킬 또는 사이클로알킬, 또는 페닐 또는 시아노 기로 추가로 작용화된 알킬 또는 사이클로알킬이다. 일부 실시양태에서, R'는 10 이하의 탄소 원자를 포함할 수 있고, 예를 들어 메틸, 에틸, 프로필, 이소프로필, 부틸, t-부틸, 이소부틸 또는 t-아밀일 수 있다. R 기는 선형, 분지형(즉, 금속 결합 탄소 원자에서 2차 또는 3차), 또는 사이클릭 하이드로카르빌 기일 수 있다. 각각의 R 기는 개별적으로 그리고 일반적으로 1 내지 31개의 탄소 원자를 갖고, 2차 결합 탄소 원자를 갖는 기에 대서는 3 내지 31개의 탄소 원자를 갖고, 3차 결합 탄소 원자를 갖는 기에 대해서는 4 내지 31개의 탄소 원자를 갖는다. 특히, 분지형 알킬 리간드는 화합물이 R1R2R3CSn(NR')3 로 나타낼 수 있는 일부 패터닝 조성물에 바람직할 수 있으며, 상기 식에서 R1 및 R2는 독립적으로 탄소수 1 내지 10의 알킬 기이고, R3은 수소 또는 탄소수 1 내지 10의 알킬 기이다. 아래에 언급된 바와 같이, 알킬 리간드 R의 이러한 설명은 일반적으로 R1R2R3CSn(X)3 를 갖는 다른 실시양태에 유사하게 적용될 수 있으며, 상기 식에서 X는 트리알콕사이드 또는 트리아미드 모이어티에 상응한다. 일부 실시양태에서, R1 및 R2는 사이클릭 알킬 모이어티를 형성할 수 있고, R3 또한 사이클릭 모이어티 내 다른 기와 결합할 수 있다. 적합한 분지형 알킬 리간드는 예를 들어 이소프로필(R1 및 R2는 메틸이고, R3은 수소임), tert-부틸(R1, R2 및 R3은 메틸임), tert-아밀(R1 및 R2는 메틸이고, R3은 -CH2CH3임), sec-부틸(R1은 메틸이고, R2는 -CH2CH3이고, R3은 수소임), 네오펜틸(R1 및 R2는 수소이고, R3은 -C(CH3)3 임), 사이클로헥실, 사이클로펜틸, 사이클로부틸 및 사이클로프로필일 수 있다. 적합한 사이클릭 기의 예는 예를 들어 1-아다만틸(-C(CH2)3(CH)3(CH2)3 또는 3차 탄소에서 금속에 결합된 트리사이클로(3.3.1.13,7)데칸) 및 2-아다만틸(-CH(CH)2(CH2)4(CH)2(CH2) 또는 2차 탄소에서 금속에 결합된 트리사이클로(3.3.1.13,7)데칸)을 들 수 있다. 다른 실시양태에서, 하이드로카르빌 기로는 아릴 또는 알케닐 기, 예를 들어 벤질 또는 알릴, 또는 알키닐 기를 들 수 있다. 다른 실시양태에서, 하이드로카르빌 리간드 R은 C 및 H만으로 이루어지고 1 내지 31개의 탄소 원자를 포함하는 임의의 기를 들 수 있다. 요약하면, 주석에 결합된 적합한 알킬 기의 일부 예로는 예를 들어 선형 또는 분지형 알킬(i-Pr((CH3)2CH-), t-Bu((CH3)3C-), Me(CH3-), n-Bu(CH3CH2CH2CH2-)), 사이클로-알킬(사이클로-프로필, 사이클로-부틸, 사이클로-펜틸), 올레핀 기(알케닐, 아릴, 알릴), 또는 알키닐 기, 이의 조합을 들 수 있다. 추가의 실시양태에서, 적합한 R 기로는 시아노, 티오, 실릴, 에테르, 케토, 에스테르, 또는 할로겐화된 기 또는 이의 조합을 포함하는 헤테로원자 작용기로 치환된 하이드로카르빌 기를 들 수 있다.
알킬 주석 트리알콕사이드 조성물은 화학식 RSn(OR0)3으로 나타낼 수 있다. 알킬 주석 트리알콕사이드는 알킬 주석 트리아미드로부터 합성될 수 있지만, Edson 등의 미국 특허 출원 제15/950,292호(발명의 명칭: Monoalkyl Tin Compounds with Low Polyalkyl Contamination, Their Compositions and Methods)에는 또한 알킬 트리아미도 주석으로부터의 모노알킬 주석 트리알콕사이드의 합성 및 사용될 수 있는 다른 합성 경로를 기술하고 있으며, 상기 문헌은 본 명세서에 참고로 포함된다. 알킬 트라이미도 주석 조성물은 화학식 RSn(NR"COR"')3으로 나타내어질 수 있다. 알킬 주석 트리알콕사이드 및 알킬 트리아미도 주석 조성물에 대한 화학식의 R 기는 알킬 주석 트리아미드 조성물에 대해 상기 요약된 것과 동일한 R 기일 수 있으며, 이러한 R 기의 해당 논의는 본 단락에 전체 내용이 복사되어 있는 것과 같다. 모노알킬 트리아미도 주석 조성물은 본 명세서에서 더 이상 논의되지 않는다. 알콕사이드 리간드 -OR0의 경우, R0 기는 독립적으로 탄소수 1 내지 10의 탄화수소 기, 예를 들어 메틸 기, 에틸 기 등일 수 있다.
일반적으로, 전구체 용액을 기판에 전달하기 위해 임의의 적합한 코팅 공정이 사용될 수 있다. 적합한 코팅 접근법은 예를 들어 스핀 코팅, 스프레이 코팅, 딥 코팅, 기상 증착, 나이프 에지 코팅, 인쇄, 예컨대 잉크젯 인쇄 및 스크린 인쇄 등을 포함할 수 있다. 기상 침착은 위에 인용된 '612 출원에서 논의되었다. 전구체 용액을 형성하기 위해, 레지스트 조성물을 일반적으로 적합한 유기 용매에 용해시킬 수 있다. 일부 공정 매개변수는 유기금속 레지스트의 특정 조성에 따라 다르지만, 상기에서 설명된 주석 기반 레지스트의 경우 주석 농도는 주석의 양으로 일반적으로 약 1 mM 내지 약 1 M 범위일 수 있으며, 추가 실시양태에서 약 2 mM 내지 약 750 mM, 및 다른 실시양태에서 약 5 mM 내지 약 500 mM의 범위일 수 있다. 이러한 코팅 접근법들 중 일부는 코팅 공정 중에 코팅 물질의 패턴을 형성하지만, 인쇄 등으로부터 현재 이용가능한 해상도는 본 명세서에 기재된 바와 같은 방사선 기반 패터닝로부터 이용가능한 해상도의 수준보다 현저히 낮다.
방사선을 사용하여 패터닝을 수행하는 경우, 스핀 코팅은 가장자리 효과가 있을 수 있지만 기판을 비교적 균일하게 도포하는 바람직한 접근법이 될 수 있다. 일부 실시양태에서, 웨이퍼는 약 500 rpm 내지 약 10,000 rpm, 추가 실시양태에서 약 1000 rpm 내지 약 7500 rpm 및 추가 실시양태에서 약 2000 rpm 내지 약 6000 rpm의 속도로 회전될 수 있다. 원하는 코팅 두께를 얻기 위해 회전 속도를 조정할 수 있다. 스핀 코팅은 약 5 초 내지 약 5 분, 추가 실시양태에서 약 15 초 내지 약 2 분 동안 수행될 수 있다. 예를 들어 50 rpm 내지 250 rpm의 초기 저속 스핀을 사용하여 기판에 걸쳐 조성물의 초기 벌크 확산을 수행할 수 있다. 백 사이드 헹굼, 가장자리 빔 제거 단계 등은 가장자리 비드를 제거하기 위해 물 또는 다른 적절한 헹굼으로 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 스핀 코팅 파라미터의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
코팅의 두께는 일반적으로 전구체 용액 농도, 점도 및 회전 속도의 함수일 수 있다. 다른 코팅 공정의 경우, 일반적으로 코팅 매개변수를 선택하여 두께를 조정할 수도 있다. 일부 실시양태에서, 작고 고도로 분해된 피처의 형성을 용이하게 하기 위해 얇은 코팅을 사용하는 것이 바람직할 수 있다. 일부 실시양태에서, 코팅 물질은 헹굼 전의 평균 건조 두께가 약 1 마이크론 이하, 추가 실시양태에서 약 250 나노미터(nm) 이하, 추가 실시양태에서 약 1 나노미터(nm) 내지 약 50 nm, 다른 실시양태에서 약 1 nm 내지 약 40 nm, 일부 실시양태에서 약 1 nm 내지 약 25 nm일 수 있다. 여기에 교시된 헹굼 공정은 현상된 레지스트 패턴의 두께를 줄이는데, 상기 현상된 레지스트 패턴은 수행된 패터닝에 따라 노광되거나 노광되지 않은 레지스트가 될 수 있다. 헹굼 공정은 일반적으로 현상된 레지스트 패턴에서 물질을 과도하게 제거해서는 안되지만, 헹굼 공정을 예상하여 드라이 레지스트 코팅 물질의 초기 두께를 선택할 수 있다. 헹굼 후 및 현상 후 코팅 두께의 범위는 상기 제시된 것과 동일한 범위에 속하며, 일반적으로 헹굼은 헹굼시 제거된 물질의 백분율에 대해 헹굼 후 코팅 두께의 상한을 어느 정도까지 유효하게 감소시킨다는 것을 이해한다.
당업자는 상기 명시적인 범위 내의 두께의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 두께는 필름의 광학적 특성을 기반으로 하는 X선 반사율 및/또는 타원 측정법의 비접촉 방법을 사용하여 평가할 수 있다.
많은 코팅 공정이 더 큰 표면적 및/또는 증발을 자극하는 용액의 이동을 가진 액적 또는 다른 형태의 코팅 물질을 형성하기 때문에 코팅 공정 자체가 용매의 일부를 증발시킬 수 있다. 용매가 손실되면 물질 내 종 농도가 증가함에 따라 코팅 물질의 점도를 증가시키는 경향이 있다. 코팅 공정 중 목적은 추가 공정을 위해 코팅 물질을 안정화하기에 충분한 용매를 제거하는 것일 수 있다. 용매 제거 공정은 코팅 물질에 잔류하는 특정한 양의 용매에 대해 정량적으로 제어되지 않을 수 있으며, 일반적으로 얻어지는 코팅 물질 특성에 대한 실증적 평가를 수행하여 패터닝 공정에 효과적인 처리 조건을 선택할 수 있다.
노광 전 베이킹
공정의 성공적인 적용을 위해 가열이 필요하지 않을 수 있지만, 코팅된 기판을 가열하여 공정을 가속화하고/하거나 공정의 재현성을 증가시키는 것이 바람직할 수 있다. 현장 가수분해가 알킬 주석 산화물 수산화물을 형성하는데 사용되는 실시양태의 경우, 노광 전 베이킹은 가수분해를 유도하여 방사선 민감성 패터닝 조성물을 형성한다. 용매를 제거하고/하거나 가수분해를 유도하기 위해 열이 가해지는 실시양태에서, 코팅 물질은 약 45 ℃ 내지 약 150 ℃, 추가 실시양태에서 약 50 ℃ 내지 약 130 ℃, 다른 실시양태에서 약 60 ℃ 내지 약 110 ℃의 온도로 가열될 수 있다. 용매 제거를 위한 가열은 일반적으로 약 0.1분 이상, 추가 실시양태에서 약 0.25분 내지 약 30분, 추가 실시양태에서 약 0.50분 내지 약 10분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 가열 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 현장 가수분해가 수행되는 실시양태의 경우, 아민 또는 알코올과 같은 가수분해 부산물은 부산물이 적절하게 휘발성인 경우 이 가열 단계 동안 제거될 수 있다.
노광
코팅 물질은 방사선을 사용하여 미세하게 패터닝될 수 있다. 위에서 언급한 바와 같이, 전구체 용액의 조성물 및 이에 따른 상응하는 코팅 물질은 원하는 형태의 방사선을 충분히 흡수하도록 설계될 수 있다. 방사선의 흡수는 알킬 주석 결합을 끊는 에너지의 전달을 초래하여 알킬 리간드 중 적어도 일부가 더 이상 물질을 안정화하는 데 사용할 수 없게 된다. 충분한 양의 방사선을 흡수하면 노광된 코팅 물질이 축합되며, 즉 주변 대기로부터 흡수된 물을 포함할 수 있는, 강화된 금속 옥소-하이드록소 네트워크를 형성한다. 방사선은 일반적으로 선택된 패턴을 따라서 전달될 수 있다. 방사선 패턴은 조사된 영역과 미조사된 영역이 있는 코팅 물질의 해당 패턴 또는 잠상으로 전사된다. 조사된 영역은 축합된 코팅 물질을 포함하고, 미조사된 영역은 일반적으로 형성된 그대로의 코팅 물질을 포함한다. 미조사된 코팅 물질을 제거하여 코팅 물질을 현상할 때 날카로운 모서리가 형성될 수 있다.
방사선은 일반적으로 마스크를 통해 코팅된 기판으로 향하거나 방사선 빔이 기판을 가로 질러 제어가능하게 스캔될 수 있다. 일반적으로, 방사선은 전자기 방사선, 전자 빔(베타 방사선) 또는 다른 적절한 방사선을 포함할 수 있다. 일반적으로, 전자기 방사선은 가시선, 자외선 또는 X-선 방사선과 같은 원하는 파장 또는 파장 범위를 가질 수 있다. 방사선 패턴에 대해 달성할 수 있는 해상도는 일반적으로 방사선 파장에 따라 다르며, 일반적으로 더 짧은 파장의 방사선에 의해 더 높은 해상도 패턴을 얻을 수 있다. 따라서, 특히 고해상도 패턴을 달성하기 위해 자외광, x-선 방사선 또는 전자 빔을 사용하는 것이 바람직할 수 있다.
본 명세서에 참조로 포함된 국제 표준 ISO 21348 (2007)에 따라, 자외선은 100 nm 이상 및 400nm 미만의 파장 사이에서 확장된다. 크립톤 플루오라이드 레이저는 248 nm 자외선 광원으로 사용할 수 있다. 자외선 범위는 10 nm 이상에서 121 nm 미만까지의 극자외선(EUV) 및 122 nm 이상에서 200 미만까지의 원자외선(FUV)과 같은 허용된 표준에 따라 여러 방법으로 세분화될 수 있다. EUV 광은 13.5 nm에서 리소그래피에 사용되었으며, 이러한 광은 고 에너지 레이저 또는 방전 펄스를 사용하여 여기된 Xe 또는 Sn 플라즈마 소스에서 생성된다. 모노알킬 주석 산화물 수산화물 기반 방사선 민감성 조성물은 EUV 및 e-빔 패터닝에 특히 효과적인 레지스트를 제공하는 것으로 밝혀졌으며, 이는 기존의 유기 레지스트가 이러한 리소그래피 공정의 완전한 패터닝 기능을 제공하지 않는 것으로 간주되어 온 영역이다.
전자기 방사선의 양은 노광 시간 동안 통합된 복사 플러스에 의해 얻어지는 플루언스 또는 선량으로 특성화될 수 있다. 적합한 방사선 플루언스는 약 1 mJ/cm2 내지 약 150 mJ/cm2, 추가 실시양태에서 약 2 mJ/cm2 내지 약 100 mJ/cm2, 추가 실시양태에서 약 3 mJ/cm2 내지 약 50 mJ/cm2일 수 있다. 일 실시양태에서, EUV 방사선은 약 100 mJ/cm2 이하의 선량으로 수행될 수 있거나 또는 전자 빔은 30 kV에서 약 2 mC/cm2 이하의 선량으로 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가적인 범위의 방사선 플루언스가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
전자 빔 리소그래피에서, 전자빔은 일반적으로 조사된 물질을 변형시키는 2차 전자를 유도한다. 해상도는 물질 내 2차 전자 범위에 따라 적어도 일부 좌우될 수 있으며, 상기 물질에서는 더 높은 해상도가 일반적으로 더 짧은 범위의 2차 전자로부터 기인한다고 생각된다. 본 명세서에 기술된 유기금속 코팅 물질을 사용하여 전자 리소그래피로 달성할 수 있는 고해상도에 기초하여, 유기금속 물질의 2차 전자의 범위는 제한된다. 전자 빔은 빔의 에너지에 의해 특성화될 수 있으며, 적절한 에너지는 약 5 eV 내지 약 200 keV, 추가 실시양태에서 약 7.5 eV 내지 약 100 keV 범위일 수 있다. 30 keV에서 근접 보정된 빔 선량은 제곱 센티미터 당 약 0.1 마이크로쿨롱(μC/cm2) 내지 제곱 센티미터 당 약 5 밀리쿨롱(mC/cm2), 추가 실시양태에서 약 0.5 μC/cm2 내지 약 1 mC/cm2 및 다른 실시양태에서 약 1 μC/cm2 내지 약 100 μC/cm2 의 범위일 수 있다. 당업자는 본 명세서의 교시에 기초하여 다른 빔 에너지에서 대응하는 선량을 계산할 수 있고, 상기 명시적인 범위 내의 추가 범위의 전자 빔 특성이 고려되고 본 개시내용 내에 있음을 인식할 것이다.
방사선 노광 후, 코팅 물질은 조사된 영역과 미조사된 영역으로 패터닝된다. 도 2 및 도 3을 참조하며, 기판(102), 박막(103) 및 패터닝된 코팅 물질(104)을 포함하는 패터닝된 구조물(100)이 도시된다. 패터닝된 코팅 물질(104)은 조사된 코팅 물질의 축합 영역(110, 112, 114, 116) 및 미조사된 코팅 물질의 미축합 영역(118, 120, 122)을 포함한다. 축합 영역(110, 112, 114, 116) 및 미축합 영역(118, 120, 122)에 의해 형성된 패턴은 코팅 물질에 잠상을 나타낸다.
유기금속 코팅 물질의 설계에 따라, 축합된 코팅 물질이 있는 조사된 영역과 미조사 미축합된 코팅 물질 사이에 물질 특성의 큰 대비가 있다. 놀랍게도, 일부 실시양태에서는 조사 후 열처리 없이도 만족스러운 결과를 얻을 수 있지만, 조사 후 열처리로 상기 대비가 개선될 수 있다는 것이 발견되었다. 노광 후 열처리는 조사된 코팅 물질을 어닐링하여 코팅 물질의 미조사된 영역을 크게 축합시키지 않고 축합을 개선하는 것처럼 보인다.
노광 후 베이킹
노광 단계의 완료 후, 코팅 물질은 노광된 방사선 민감성 조성물의 추가 축합을 통해 에칭 대비를 증가시키기 위해 열처리될 수 있다. 일부 실시양태에서, 패터닝된 코팅 물질은 약 90 ℃ 내지 약 600 ℃, 추가 실시양태에서 약 100 ℃ 내지 약 400 ℃ 및 추가 실시양태에서 약 125 ℃ 내지 약 300 ℃의 온도에서 가열될 수 있다. 가열은 적어도 약 10 초 동안, 다른 실시양태에서 약 15 초 내지 약 30 분 동안, 그리고 추가 실시양태에서 약 20 초 내지 약 15 분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내에서 열처리를 위한 온도 및 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 이러한 물질 특성의 높은 대비는 이하의 단락에 기재된 바와 같이 현상 후 패턴의 예리한 라인 형성을 더욱 용이하게 한다.
현상
네거티브 톤 이미징에 대해서는 도 4 및 도 5를 참조하며, 도 2 및 도 3에 도시된 구조물의 잠상을 현상제와의 접촉을 통해 현상시켜 패터닝된 구조(130)를 형성하였다. 이미지 현상 후, 박막(103)은 개구부(132, 134, 135)를 통해 상부 표면을 따라 노광된다. 개구부(132, 134, 135)는 미축합 영역(118, 120, 122)의 위치에 각각 위치한다. 포지티브 톤 이미징에 대해서는 도 6 및 도 7을 참조하며, 도 2 및 도 3에 도시된 구조물의 잠상을 현상하여 패터닝된 구조(140)를 형성하였다. 패터닝된 구조(140)는 패터닝된 구조(130)의 공액상을 갖는다. 패터닝된 구조(140)는 개구(142, 144, 146, 148)를 형성하도록 현상되는 조사 영역(110, 112, 114, 116)의 위치에서 노광된 박막(103)을 갖는다.
유기 안정화 리간드를 갖는 코팅 조성물은 본질적으로 소수성인 물질을 생성한다. 유기금속 결합의 적어도 일부를 파괴하기 위해 조사를 실시하면 물질을 덜 소수성, 즉 더 친수성인 물질로 전환시킨다. 이러한 특성 변화는 조사된 코팅과 미조사된 코팅 간의 상당한 대비를 제공하는데 이는 동일한 레지스트 조성물로 포지티브 톤 패터닝과 네거티브 톤 패터닝을 모두 행할 수 있는 능력을 제공한다. 구체적으로, 조사된 코팅 물질은 더 많은 금속 산화물 조성물로 어느 정도 축합되고; 그러나, 축합의 정도는 일반적으로 상당한 가열없이 중간 정도이므로 조사된 물질은 편리한 현상제로 현상시키기가 비교적 간단한다.
네거티브 톤 이미징의 경우 현상제는 전구체 용액을 형성하는 데 사용되는 용매와 같은 유기 용매일 수 있다. 일반적으로, 현상제 선택은 조사 및 미조사 둘 모두의 코팅 물질과 관련된 용해도 매개변수뿐만 아니라 현상제 휘발성, 인화성, 독성, 점도 및 다른 공정 물질과의 잠재적인 화학적 상호 작용에 의해 영향을 받을 수 있다. 특히, 적합한 현상제는 예를 들어 방향족 화합물(예, 벤젠, 자일렌, 톨루엔), 에스테르(예, 프로필렌 글리콜 모노메틸 에스테르 아세테이트, 에틸 아세테이트, 에틸 락테이트, n-부틸 아세테이트, 부티로락톤), 알코올(예, 4-메틸-2-펜탄 올, 1-부탄올, 이소프로판올, 1-프로판올, 메탄올), 케톤(예, 메틸 에틸 케톤, 아세톤, 사이클로헥사논, 2-헵타논, 2-옥타논), 에테르(예, 테트라하이드로푸란, 디옥산, 아니솔) 등을 들 수 있다. 현상은 약 5 초 내지 약 30 분 동안, 추가 실시양태에서 약 8 초 내지 약 15 분, 추가 실시양태에서 약 10 초 내지 약 10 분 동안 수행될 수 있다. 당업자는 상기 명시적인 범위 내의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
포지티브 톤 이미징의 경우, 현상제는 일반적으로 수성 산 또는 염기일 수 있다. 일부 실시양태에서, 수성 염기는 더 선명한 이미지를 얻기 위해 사용될 수 있다. 현상제의 오염을 줄이기 위해 금속 원자가 없는 현상제를 사용하는 것이 바람직할 수 있다. 따라서, 4차 암모늄 하이드록사이드 조성물, 예컨대 테트라에틸암모늄 하이드록사이드, 테트라프로필암모늄 하이드록사이드, 테트라부틸암모늄 하이드록사이드 또는 이들의 조합이 현상제로 바람직하다. 일반적으로, 특히 관심있는 4차 암모늄 하이드록사이드는 화학식 R4NOH로 나타낼 수 있으며, 여기서 R = 메틸 기, 에틸 기, 프로필 기, 부틸 기, 또는 이들의 조합이다. 본 명세서에 기재된 코팅 물질은 일반적으로 중합체 레지스트, 특히 테트라메틸 암모늄 하이드록사이드(TMAH)에 대해 현재 일반적으로 사용되는 동일한 현상제로 현상될 수 있다. 시판 TMAH는 2.38 중량%로 이용가능하며, 이 농도는 본 명세서에 기재된 처리에 사용될 수 있다. 더욱이, 혼합된 4차 테트라알킬-암모늄 하이드록사이드가 사용될 수 있다. 일반적으로, 현상제는 약 0.5 내지 약 30 중량%를 포함할 수 있으며, 추가 실시양태에서는 약 1 내지 약 25 중량%, 다른 실시양태에서는 약 1.25 내지 약 20 중량%의 테트라-알킬 암모늄 하이드록사이드 또는 유사한 4차 암모늄 하이드록사이드이다. 당업자는 상기 명시적인 범위 내의 현상제 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다.
본 명세서에 기재된 개선된 처리를 위해, 헹굼제는 네거티브 톤 대 포지티브 현상, 현상제의 의미에서 반대일 수 있다. 따라서 네거티브 톤 패턴이 형성되면 아래에 예시된 바와 같이 포지티브 톤 현상제 조성물로 헹굼을 수행하여 이미지를 개선할 수 있다. 마찬가지로, 포지티브 톤 패턴이 현상되면 네거티브 톤 현상제를 사용하여 약한 헹굼을 사용할 수 있다. 헹굼 공정은 다음 섹션에서 자세히 설명한다.
1차 현상제 조성물에 추가하여, 현상 공정을 용이하게 하기 위해 현상제는 추가적인 조성물을 포함할 수 있다. 적합한 첨가제는 예를 들어, 암모늄, d-블록 금속 양이온(하프늄, 지르코늄, 란타늄 등), f-블록 금속 양이온(세륨, 루테튬 등), p-블록 금속 양이온(알루미늄, 주석 등), 알칼리 금속(리튬, 나트륨, 칼륨 등) 및 이들의 조합으로 이루어진 군으로부터 선택된 양이온, 및 불화물, 염화물, 브롬화물, 요오드화물, 질산염, 황산염, 인산염, 실리케이트, 보레이트, 퍼옥사이드, 부톡사이드, 포르메이트, 에틸렌디아민-테트라아세트산(EDTA), 텅스테이트, 몰리브데이트 등 및 이들의 조합으로 이루어진 군으로부터 선택된 음이온을 갖는 용해된 염을 포함한다. 선택적 첨가제가 있는 경우 현상제는 약 10 중량% 이하의 첨가제를 포함할 수 있고, 추가 실시양태에서 약 5 중량% 이하의 첨가제를 포함할 수 있다. 당업자는 상기 명시적인 범위 내의 첨가제 농도의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 첨가제를 선택하여 대비, 감도 및 선폭 거칠기를 개선할 수 있다. 현상제의 첨가제는 또한 금속 산화물 입자의 형성 및 침전을 억제할 수 있다.
더 약한 현상제, 예를 들어 더 낮은 농도의 현상제를 사용하면, 더 높은 온도 현상 공정을 사용하여 공정 속도를 높일 수 있다. 더 강한 현상제를 사용하면, 현상 공정의 온도를 낮추어 현상 속도를 낮추고/낮추거나 현상 속도를 제어할 수 있다. 일반적으로, 현상 온도는 수성 용매의 적절한 값 사이에서 조정할 수 있다. 또한, 현상제 코팅 계면 근처에 용해된 유기금속 코팅 물질을 갖는 현상제는 현상 중에 초음파 처리를 통해 분산될 수 있다.
현상제는 임의의 합리적인 접근법을 사용하여 패터닝된 코팅 물질에 적용할 수 있다. 예를 들어, 현상제를 패터닝된 코팅 물질에 분사하거나 또는 구조물을 현상제에 담그거나 또는 다른 방식으로 침지할 수 있다. 또한, 스핀 코팅을 사용할 수 있다. 자동화된 처리를 위해, 고정 방식으로 코팅 물질에 현상제를 붓는 퍼들 방법을 사용할 수 있다. 원하는 경우 스핀 헹굼 및/또는 건조를 사용하여 현상 공정을 완료할 수 있다. 이미지가 현상된 후 코팅 물질이 패턴으로 기판에 배치된다.
헹굼
상기에서 논의한 바와 같이, 현상 단계 후에, 패터닝된 피처 사이에 잔류, 미노광, 또는 노광 부족 포토레지스트가 있을 수 있다. 이 잔류물은 패터닝된 프로파일의 품질을 왜곡하고 에칭에 기반된 후속 패턴 전사 공정의 효율성에 영향을 미칠 수 있다. 본 명세서에 기재된 바와 같이, 헹굼 단계를 사용하여 이러한 잔류물을 제거할 수 있다. 네거티브 현상에 기초한 실시양태의 경우, 유기 용매에서 현상한 후 수성 테트라메틸암모늄 하이드록사이드(TMAH)와 같은 수성 4차 암모늄 하이드록사이드로 헹구면 포토레지스트 잔류물을 효과적으로 제거하여 패턴 충실도를 향상시키고 마이크로브릿지 결함을 줄이거나 제거할 수 있다. 이러한 헹굼은 예로서 (i) 수조에 웨이퍼를 침지하는 것, (ii) 스프레이 노즐을 통해 헹굼 용액을 웨이퍼에 직접 분배하는 것, 및 (iii) 웨이퍼를 넘쳐 흐르는 헹굼 탱크에 넣는 것을 포함하는 여러 헹굼 방법 중 어느 하나에 의해 성립될 수 있다. 자동화된 처리를 위해, 예를 들어 헹굼 용액을 웨이퍼 표면에 퇴적시키고 스핀 또는 블로잉 건조하여 헹굼 공정을 완료하는 퍼들 방법으로 헹굼을 수행할 수 있다. 당업자는 다른 헹굼 방법이 고려되고 본 개시내용 내에 있음을 인식할 것이다.
네거티브 패턴 기판을 헹구기 위해, 알칼리성 헹굼제를 사용할 수 있다. 금속의 도입을 피하기 위해, 포지티브 레지스트의 현상제로서 상기에서 설명한 것과 같은 4차 암모늄 하이드록사이드를 사용하는 것이 바람직할 수 있다. 일부 실시양태에서, 헹굼 용액은 약 0.5 내지 약 30 중량%, 추가 실시양태에서는 약 1 내지 약 25 중량%, 다른 실시양태에서는 약 1.25 내지 약 20 중량%의 테트라-알킬암모늄 하이드록사이드 또는 유사한 4차 암모늄 하이드록사이드를 포함할 수 있다. 또 다른 실시양태에서, 헹굼 용액은 2.38% w/w 테트라메틸암모늄 하이드록사이드(TMAH)를 포함한다. 당업자는 테트라메틸암모늄 하이드록사이드(TMAH) 농도의 추가 범위가 사용될 수 있음을 인식할 것이다.
아래의 예에서 설명된 바와 같이, 헹굼 시간을 조정하여 원하는 패턴 균일 성을 개선하고 선폭을 선택할 수 있다. 선택한 헹굼 시간은 또한 헹굼제 농도와 헹굼 온도에 영향을 받을 수 있다. 자동화된 처리를 위한 적절한 처리 시간에 기초하여, 일반적으로 헹굼 시간은 적어도 약 1 초, 다른 실시양태에서 약 2 초 내지 약 30 분, 추가 실시양태에서 약 4 초 내지 약 20 분, 및 다른 실시양태에서 약 6 초 내지 약 5 분이 되도록 설계될 수 있다. 당업자는 상기 명시적인 범위 내의 헹굼 시간의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 헹굼은 일반적으로 패터닝된 레지스트의 두께를 감소시키는 것으로 여겨진다. 패터닝된 레지스트의 두께는 선폭이 양방향에서 좁아지기 때문에 선폭이 좁아지는 크기의 절반과 거의 비슷할 것으로 예상된다. 원하는 경우, 레지스트용으로 증착된 두께가 상응하게 조정될 수 있지만 패턴 두께의 작은 감소가 추가 처리를 바꾸지는 않을 수 있다. 헹굼 후, 패터닝된 구조는 제품 형성 단계로서 기판 위에 증착하거나 기판으로부터 에칭하는 데 사용될 수 있다.
피치는 디자인에 의해 평가될 수 있으며 하향식 이미지와 같은 주사 전자 현미경(SEM)으로 확인할 수 있다. 본 명세서에서 사용되는 피치는 반복되는 구조적 요소의 공간적 주기 또는 중심 간 거리를 의미하며, 당업계에서 일반적으로 사용되는 바와 같이 하프 피치는 피치의 절반이다. 패턴의 피처 치수는 피처의 평균 폭과 관련하여 설명될 수 있는데, 이는 일반적으로 모서리 등으로부터 떨어져 평가된다. 또한, 피처는 물질 요소 및/또는 물질 요소 간의 갭을 의미할 수 있다. 헹굼은 초기 현상으로부터의 브릿지 및 불완전성을 제거하면서 상응하게 갭을 증가시키면서 피처의 폭을 줄이는 데 사용할 수 있다. 평균 선폭 거칠기는 약 5 nm 이하일 수 있고 일부 실시양태에서는 약 4.8 nm 이하일 수 있다. 선폭 거칠기 평가는 하향식 SEM 이미지를 분석하여 평균 선폭으로부터의 3σ 편차를 도출한다. 평균에는 고주파수와 저주파 거칠기, 즉 각각 짧은 상관 길이와 긴 상관 길이 둘 모두를 포함한다. 유기 레지스트의 선폭 거칠기는 주로 긴 상관 길이를 특징으로 하는 반면, 현재 유기금속 코팅 물질은 상관 길이가 상당히 짧다. 패턴 전사 공정에서, 짧은 상관 거칠기는 에칭 공정 중에 평활화되어 훨씬 높은 충실도 패턴을 생성할 수 있다. 당업자는 상기 명시적인 범위 내의 피치, 평균 폭 및 선폭 거칠기의 추가 범위가 고려되고 본 개시내용 내에 있음을 인식할 것이다. 이러한 프로세스를 기반으로, 패터닝은 트랜지스터와 같은 레이어드 구조 또는 다른 컴포넌트를 적절히 형성하기 위해 일반적으로 반복 패터닝 공정을 통하여 전자 집적 회로와 같은 다양한 장치의 형성에 적용될 수 있다.
실시예
EUV 노광 후 네거티브 톤 현상에 기반한 레지스트 패턴이 다음 예에서 사용되었다. 구체적으로 도 1을 참조하면, 코팅(01), 베이킹(02), 노광(03), 베이킹(04), 현상(05) 및 헹굼(06)(단, 대조 샘플에 기초한 비교예에 대해서는 헹굼을 하지 않음) 단계를 따랐다. 패턴 세트에 대한 헹굼 시간을 조사한다.
코팅 - 알킬 주석 포토레지스트 제품(Inpria, Oregon, USA)을 300 mm 직경의 실리콘 웨이퍼에 1307 rpm으로 40 초 동안 스핀 코팅했다. 포토레지스트 제품은 상기 '612 출원에 기재된 바와 같이 알코올 용매 중의 알킬 주석 트리알콕사이드 조성물이었다.
노광 전 베이킹 - 코팅된 기판을 이후 핫 플레이트에서 100 ℃에서 1 분 동안 주변 분위기에서 베이킹했다. 이전 연구는 주변 공기에서의 이러한 처리가 주석-알콕사이드 리간드의 가수분해를 일으켜 현장에서 알킬 주석 산화물 수산화물 조성물을 형성한다고 제안했다. 타원편광법을 이용하였더니 베이킹 후 필름 두께가 약 22 nm임을 나타내었다.
노광 - 코팅된 기판을 이후 Dipole90 Sigma 0.878/0.353이 적용된 ASML NXE3350 도구를 사용하여 EUV 방사선에 노광시켯다. 기판을 패터닝된 라인 간 다양한 간격으로 4개의 다른 마스크를 통해 노광시켰다. 각 마스크는 32 nm 피치로 간격을 둔 패터닝된 라인을 가졌다. 첫 번째 예시 세트는 패터닝된 라인 간 개방 간격이 16 nm이었다. 두 번째, 세 번째 및 네 번째 예는 각각 패턴 라인 간 개방 간격이 17 nm, 19 nm 및 20 nm이었다. 더 큰 개방 간격을 갖는 마스크는 더 많은 EUV 광을 반사할 수 있으므로, 설정된 노광 시간 내에 포토레지스트에 더 높은 노광 선량을 전달한다.
노광 후 베이킹 - 노광 후, 코팅을 170 ℃에서 1 분 동안 노광 후 베이킹(PEB)에 적용했다.
현상 - 노광되고 베이킹된 필름을 이후 약 40 초 동안 2-헵타논(TOK, >99 %)에 담가 포토레지스트 필름의 미노광된 부분을 제거하고 네거티브 톤 패턴을 현상했다.
헹굼 - 현상 후, 각 패터닝된 필름을 이후 2.38% w/w 수성 TMAH 용액에서 10 내지 30 초 범위의 기간 동안 처리했다. 이러한 헹굼은 코팅된 기판을 2.38% w/w 수성 TMAH 용액에 담궈서 수행되었다. 비교예는 TMAH로 헹구지 않았다.
실시예 1 - 32 nm 피치, 헹굼없이 16 nm 간격, 10s, 20s, 또는 30s 헹굼 시간
이 실시예는 EUV 노광 후 네거티브 톤 현상에 기반한 레지스트 패턴의 형성하고, TMAH 헹굼을 사용하지 않거나 선택한 헹굼 시간으로 헹굼을 하면서 현상 후의 패터닝 결과를 비교하여 나타내고 있다.
32 nm의 패터닝된 라인의 피치와 16 nm의 라인 간 개방 간격에 기초하여, 4세트의 패터닝된 샘플을 설명한다. 4개의 샘플은 헹굼이 있거나 또는 각각 약 10 초, 20 초 또는 30 초의 헹굼 시간을 가졌다. 헹굼 후 4개의 샘플은 다음과 같은 선폭과 선폭 거칠기를 가졌다:
a) 헹굼 없음 - 20.8 nm 및 7.8 nm (도 8, 왼쪽에서 첫 번째 이미지)
b) 10 초 헹굼 시간 - 19.2 nm 및 5.9 nm (도 8, 두 번째 이미지);
c) 20 초 헹굼 시간 - 16.9 nm 및 5.0 nm (도 8, 왼쪽에서 세 번째 이미지); 및
d) 30 초 헹굼 시간 - 14.6 nm 및 4.4 nm (도 8, 왼쪽에서 네 번째 이미지).
*샘플을 오래 헹굴 수록 선폭이 점진적으로 감소한다는 것은 패턴 가장자리를 따라서 미광된 및 노광부족의 포토레지스트 잔류물이 제거되고 마이크로브릿지 결함이 제거되었음을 반영한다. 결과적으로 더 균일한 구조를 도 8의 주사 전자 현미경 사진에서 볼 수 있다. 또한 헹굼 결과 선폭이 감소한다. 잔류하는 레지스트 조성물의 높이가 상응하게 조금 감소하는 것으로 생각되지만, 헹굼 후 패턴 높이는 측정하지 않았다.
실시예 2 - 다양한 라인 간격을 가진 32 nm 피치, 헹굼없음, 1Os. 20s 또는 30s 헹굼 시간
본 실시예는 다양한 라인 간격으로 준비된 샘플에 대한 현상 후 알칼리 헹굼의 효과를 보여준다.
본 실시예의 샘플은 32 nm의 패턴 라인 피치, 및 16 nm, 17 nm, 19 nm 또는 20 nm의 선폭(헹굼 전 선폭)을 가졌다. 각 선폭에 대해, 서로 다른 샘플들을 각각 약 10 초, 20 초 또는 30 초의 헹굼 시간에 적용했다. 대조 샘플(CS)은 비교를 위해 헹구지 않고 준비하였다. 헹굼 후, 샘플을 SEM으로 평가하여 헹굼 후 선폭 및 선폭 거칠기를 구했다. 도 9를 참조한다. 결과는 표 1에 나와 있다.
샘플을 오래 헹굴 수록 선폭이 점진적으로 감소한다는 것은 패턴 가장자리를 따라서 미노광된 및 노광부족의 포토레지스트 잔류물이 제거되고 마이크로브릿지 결함이 제거되었음을 반영한다. 대조 샘플(CS1-CS4)은 초기 패터닝에 의해 바로 얻어지는 공칭 선폭을 제공한다.
실시예에서 알 수 있듯이, 넓은 공정 윈도우를 사용하여 목표 치수를 갖는 라인-간격 패턴을 생성할 수 있다. 사용자가 특정 피처 크기/폭을 원하는 경우 사용자는 마스크 크기(피치 및/또는 라인 간격), 용액 및 헹굼 기간을 선택하여 원하는 피처 크기/폭을 얻을 수 있다. 예를 들어, 사용자가 약 13 nm의 피처 크기/폭을 원하고 사용자가 32 nm의 피치 및 17 nm의 선폭을 갖는 마스크를 가지고 있다면, 사용자는 2.38% w/w 수성 TMAH 용액에서 약 20 초 동안 패터닝된 필름을 헹구어 13 nm의 원하는 피처 크기/폭을 얻을 수 있다. 헹굼 전, 피처의 선폭은 약 17.61 nm이었을 것이다.
상기 실시양태는 예시적인 것이며 제한적인 것이 아니다. 추가적인 실시양태들은 청구범위 내에 있다. 또한, 본 발명이 특정 실시양태를 참조하여 설명되었지만, 당업자는 본 발명의 사상 및 범위를 벗어나지 않고 형태 및 세부사항에 있어서 변경이 이루어질 수 있음을 인식할 것이다. 상기 문헌들의 참조에 의한 임의의 통합은 본 명세서의 명시적인 개시와 상반되는 어떠한 주제도 포함되지 않도록 제한된다. 특정 구조, 조성물 및/또는 공정이 본 명세서에서 구성성분, 요소, 성분 또는 다른 부분과 기재되는 정도로, 본원의 개시내용은, 달리 특별히 언급하지 않는 한, 특정 실시양태, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합을 포함하는 실시양태, 뿐만 아니라 본 논의에 제시된 바와 같은 청구 대상의 기본적인 성질을 변경하지 않는 추가적인 특징을 포함할 수 있는 이러한 특정 실시양태, 특정 구성성분, 요소, 성분, 다른 부분 또는 이들의 조합으로 본질적으로 이루어진 실시양태도 포괄하는 것으로 이해되어야한다.

Claims (23)

  1. 초기 패터닝된 구조를 헹굼 용액으로 헹구어 현상된 포토레지스트의 일부를 제거하여 패턴 치수를 제어하고 조정된 패터닝된 구조를 형성하는 단계를 포함하며, 상기 초기 패터닝된 구조는 알킬주석 산화물 수산화물과 주석 잔류물을 포함하는, 조정된 패터닝된 구조를 형성하는 방법.
  2. 제1항에 있어서, 상기 유기주석 산화물 수산화물은 R-Sn 결합을 포함하고, 여기서, R은 탄소수 1 내지 31개의 탄소원자를 갖는 알킬 리간드이며, 상기 알킬 리간드는 1차, 2차 또는 3차 탄소에서 주석에 결합4된 선형, 분지형 또는 R 기는 선형, 분지형 또는 사이클릭 하이드로카르빌 기 일 수 있으며, 선택적으로 아릴, 알케닐, 알키닐 기 및/또는 헤테로원자 작용기를 포함할 수 있는, 방법.
  3. 제1항에 있어서, 상기 제거된 부위는 주석 잔류물을 포함하는 것인 방법.
  4. 제3항에 있어서, 상기 주석 잔류물은 미노광되거나 부분적으로 노광된 현상된 포토레지스트 부위 및/또는 하나 이상의 마이크로브릿지 결함과 연관된 것인 방법.
  5. 제4항에 있어서, 상기 미노광되거나 부분적으로 노광된 현상된 포토레지스트의 부위는 초기 현상된 패턴의 피처 가장자리를 따라 존재하는 것인 방법.
  6. 제1항에 있어서, 상기 헹굼 용액이 수성 4차 암모늄 하이드록사이드를 포함하는 것인 방법.
  7. 제1항에 있어서, 상기 헹굼 용액이 유기 용매를 포함하는 것인 방법.
  8. 제1항에 있어서, 상기 헹굼 용액이 0.5 내지 30 중량% 수성 테트라메틸암모늄 하이드록사이드(TMAH)인, 방법.
  9. 제1항에 있어서, 상기 초기 패터닝된 구조는 (i) 기판 표면을 유기금속 방사선 민감성 레지스트 물질로 코팅하여 방사선 민감성 레지스트 필름을 형성하고, (ii) 방사선 민감성 레지스트 필름을 패터닝된 방사선에 노광시켜 노광된 부분과 미노광된 부분이 있는 노광된 필름을 형성하고, (iii) 노광된 필름을 현상액과 접촉시켜, 노광된 부분 또는 미노광된 부분 중 하나가 현상액에 선택적으로 용해되어 포지티브 톤 패턴 또는 네거티브 톤 패턴을 형성하는 것인, 방법.
  10. 제9항에 있어서, 상기 노광된 부분이 현상액에 선택적으로 용해되는 경우에는 상기 현상 용액이 유기 용매를 포함하고, 상기 헹굼 용액은 수성 염기 또는 산을 포함하며, 상기 미노광된 부분이 현상액에 선택적으로 용해되는 경우에는 상기 현상 용액이 수성 염기 또는 산을 포함하고 상기 헹굼 용액이 유기 용매를 포함하는 것인, 방법.
  11. 제9항에 있어서, 상기 현상액이 유기 용매를 포함하고 상기 헹굼 용액이 알칼리 수용액을 포함하거나, 또는 상기 현상액이 알칼리 수용액을 포함하고 상기 헹굼 용액이 유기 용매를 포함하는 것인, 방법.
  12. 제9항에 있어서, 코팅 중 및/또는 코팅 후에, 상기 유기금속 방사선 민감성 레지스트 물질을 가수분해하여 방사선 민감성 레지스트 필름을 형성하는 단계를 더 포함하는 방법.
  13. 제12항에 있어서, 상기 가수분해는 수증기에 의해 수행되는 것인 방법.
  14. 제9항에 있어서, 상기 유기금속 방사선 민감성 레지스트 물질은 화학식 RSnX3로 표시되는 조성물을 포함하며, 여기서 R은 금속-탄소 결합에 의해 Sn에 결합된 1 내지 31개의 탄소원자를 갖는 알킬 리간드이고, X는 Sn과의 가수분해성 결합을 갖는 리간드인, 방법.
  15. 제14항에 있어서, 상기 알킬 리간드는 1차, 2차 또는 3차 탄소에서 Sn에 결합된 선형, 분지형 또는 사이클릭 모이어티 일 수 있으며, 선택적으로 아릴, 알케닐, 알키닐 기 및/또는 헤테로원자 작용기를 포함할 수 있는 방법.
  16. 제14항에 있어서, R은 메틸, 에틸, 프로필, 이소프로필, n-부틸, s-부틸, t-부틸, 이소부틸, t-아밀, 사이클로프로필, 사이클로부틸, 사이클로펜틸, 벤질 또는 알릴 기인 방법.
  17. 제14항에 있어서, 상기 알킬 리간드가 시아노, 티오, 실릴, 에테르, 케토, 에스테르, 페닐 또는 할로겐화 기인 방법.
  18. 제14항에 있어서, X는 아미드 기(-NR1R2, 여기서 R1 및 R2는 독립적으로 하이드로카르빌 기 또는 수소), 알콕사이드 기(OR1, 여기서 R1 은 1-10개의 탄소원자를 갖는 하이드로카르빌 기) 또는 아미데이토 기(NR1COR2, 여기서 R1 및 R2는 독립적으로 1-7개의 탄소 원자를 갖는 하이드로카르빌 기 또는 수소)인, 방법.
  19. 제18항에 있어서, R1 및/또는 R2는 메틸, 에틸, 프로필, 이소프로필, 부틸, t-부틸, 이소부틸, 또는 t-아밀 기인 방법.
  20. 제18항에 있어서, R1 및/또는 R2는 분지형 알킬 기인 방법.
  21. 제14항에 있어서, X는 아미드 기(-NR1R2, 여기서 R1 및 R2는 독립적으로 1-10개의 탄소원자를 갖는 하이드로카르빌 기 또는 수소)이거나, X는 알콕사이드 기(OR, 여기서 R 은 1-10개의 탄소원자를 갖는 알킬 기)인 방법.
  22. 제9항에 있어서, 코팅은 스핀 코팅, 스프레이 코팅, 딥 코팅, 기상 증착, 나이프 에지 코팅 및/또는 인쇄를 포함하는, 방법.
  23. 제9항에 있어서, 상기 방사선 민감성 레지스트 필름은 방사선 민감성 알킬-주석 결합을 포함하는 방법.
KR1020237039643A 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법 KR102664561B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862746808P 2018-10-17 2018-10-17
US62/746,808 2018-10-17
KR1020217014823A KR102605148B1 (ko) 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법
PCT/US2019/056183 WO2020081483A1 (en) 2018-10-17 2019-10-15 Patterned organometallic photoresists and methods of patterning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217014823A Division KR102605148B1 (ko) 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법

Publications (2)

Publication Number Publication Date
KR20230165920A true KR20230165920A (ko) 2023-12-05
KR102664561B1 KR102664561B1 (ko) 2024-05-10

Family

ID=70279491

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217014823A KR102605148B1 (ko) 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법
KR1020237039643A KR102664561B1 (ko) 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217014823A KR102605148B1 (ko) 2018-10-17 2019-10-15 패터닝된 유기금속 포토레지스트 및 패터닝 방법

Country Status (5)

Country Link
US (2) US11480874B2 (ko)
JP (2) JP7179983B2 (ko)
KR (2) KR102605148B1 (ko)
TW (1) TW202016279A (ko)
WO (1) WO2020081483A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11673903B2 (en) 2018-04-11 2023-06-13 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
KR20210010587A (ko) 2018-06-13 2021-01-27 브레우어 사이언스, 인코포레이션 Euv 리소그래피를 위한 접착층
TWI822595B (zh) 2018-06-21 2023-11-11 美商英培雅股份有限公司 包含溶劑與單烷基錫三烷氧化物之混合物的溶液、及使用其的方法
KR20210076999A (ko) 2018-11-14 2021-06-24 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US11498934B2 (en) 2019-01-30 2022-11-15 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with particulate contamination and corresponding methods
US11966158B2 (en) 2019-01-30 2024-04-23 Inpria Corporation Monoalkyl tin trialkoxides and/or monoalkyl tin triamides with low metal contamination and/or particulate contamination, and corresponding methods
KR102539806B1 (ko) 2020-01-15 2023-06-05 램 리써치 코포레이션 포토레지스트 부착 및 선량 감소를 위한 하부층
US11947262B2 (en) 2020-03-02 2024-04-02 Inpria Corporation Process environment for inorganic resist patterning
KR102573327B1 (ko) * 2020-04-02 2023-08-30 삼성에스디아이 주식회사 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법
US11886116B2 (en) * 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications
CN116134380A (zh) * 2020-07-17 2023-05-16 朗姆研究公司 光敏混合膜的形成方法
US20220269169A1 (en) * 2021-02-19 2022-08-25 Inpria Corporation Organometallic radiation patternable coatings with low defectivity and corresponding methods
WO2023081442A1 (en) * 2021-11-08 2023-05-11 Inpria Corporation Stability-enhanced organotin photoresist compositions

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140035987A (ko) * 2006-12-25 2014-03-24 후지필름 가부시키가이샤 다중현상용 레지스트 조성물을 사용하는 패턴형성방법
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
WO2017164018A1 (ja) * 2016-03-24 2017-09-28 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、感活性光線性又は感放射線性組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法
JP2018502173A (ja) * 2014-10-23 2018-01-25 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法
KR20180054917A (ko) * 2015-10-13 2018-05-24 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0443796A3 (en) 1990-02-19 1992-03-04 Hitachi Chemical Co., Ltd. Development process
JP2004512672A (ja) 2000-06-06 2004-04-22 イーケーシー テクノロジー,インコーポレイティド 電子材料製造法
US8435728B2 (en) 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US9176377B2 (en) 2010-06-01 2015-11-03 Inpria Corporation Patterned inorganic layers, radiation based patterning compositions and corresponding methods
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP5793389B2 (ja) 2011-09-30 2015-10-14 富士フイルム株式会社 パターン形成方法、及びこれを用いた電子デバイスの製造方法
JP6209307B2 (ja) * 2011-09-30 2017-10-04 富士フイルム株式会社 パターン形成方法、及びこれを用いた電子デバイスの製造方法
US8703386B2 (en) 2012-02-27 2014-04-22 International Business Machines Corporation Metal peroxo compounds with organic co-ligands for electron beam, deep UV and extreme UV photoresist applications
JP6239833B2 (ja) 2013-02-26 2017-11-29 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 微細レジストパターン形成用組成物およびそれを用いたパターン形成方法
KR102164849B1 (ko) * 2013-03-22 2020-10-13 제이에스알 가부시끼가이샤 감방사선성 수지 조성물, 레지스트 패턴 형성 방법, 중합체 및 화합물의 제조 방법
US9372402B2 (en) 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
CN104749888B (zh) * 2013-12-30 2019-12-10 罗门哈斯电子材料有限公司 光致抗蚀剂图案修整组合物和方法
JP6495025B2 (ja) 2014-01-31 2019-04-03 ラム リサーチ コーポレーションLam Research Corporation 真空統合ハードマスク処理および装置
US20150234272A1 (en) 2014-02-14 2015-08-20 Intel Corporation Metal oxide nanoparticles and photoresist compositions
TWI617611B (zh) * 2014-12-31 2018-03-11 羅門哈斯電子材料有限公司 光致抗蝕劑圖案修整組合物及方法
US9996004B2 (en) 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
KR102129745B1 (ko) * 2016-02-12 2020-07-03 후지필름 가부시키가이샤 패턴 형성 방법 및 전자 디바이스의 제조 방법
JP6262800B2 (ja) 2016-04-27 2018-01-17 京セラ株式会社 携帯電子機器、携帯電子機器制御方法及び携帯電子機器制御プログラム
TWI804224B (zh) 2016-08-12 2023-06-01 美商英培雅股份有限公司 減少邊緣珠區域中來自含金屬光阻劑之金屬殘留物的方法
US10866511B2 (en) 2016-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with developer composition
TWI778248B (zh) 2018-04-05 2022-09-21 美商英培雅股份有限公司 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
US10787466B2 (en) 2018-04-11 2020-09-29 Inpria Corporation Monoalkyl tin compounds with low polyalkyl contamination, their compositions and methods
WO2020132281A1 (en) 2018-12-20 2020-06-25 Lam Research Corporation Dry development of resists
JP2022538555A (ja) 2019-06-28 2022-09-05 ラム リサーチ コーポレーション 金属含有レジストのリソグラフィ性能を向上させるためのベーキング方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140035987A (ko) * 2006-12-25 2014-03-24 후지필름 가부시키가이샤 다중현상용 레지스트 조성물을 사용하는 패턴형성방법
KR20150039719A (ko) * 2006-12-25 2015-04-13 후지필름 가부시키가이샤 다중현상용 레지스트 조성물을 사용하는 패턴형성방법
JP2016530565A (ja) * 2013-08-22 2016-09-29 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物
JP2018502173A (ja) * 2014-10-23 2018-01-25 インプリア・コーポレイションInpria Corporation 有機金属溶液に基づいた高解像度パターニング組成物および対応する方法
KR20180054917A (ko) * 2015-10-13 2018-05-24 인프리아 코포레이션 유기주석 옥사이드 하이드록사이드 패터닝 조성물, 전구체 및 패터닝
WO2017164018A1 (ja) * 2016-03-24 2017-09-28 富士フイルム株式会社 感活性光線性又は感放射線性組成物、感活性光線性又は感放射線性組成物の精製方法、感活性光線性又は感放射線性組成物の製造方法、パターン形成方法、及び電子デバイスの製造方法

Also Published As

Publication number Publication date
KR102605148B1 (ko) 2023-11-23
WO2020081483A1 (en) 2020-04-23
JP2023025059A (ja) 2023-02-21
US20230012169A1 (en) 2023-01-12
US11480874B2 (en) 2022-10-25
JP7179983B2 (ja) 2022-11-29
KR102664561B1 (ko) 2024-05-10
TW202016279A (zh) 2020-05-01
KR20210061464A (ko) 2021-05-27
JP2022502714A (ja) 2022-01-11
US20200124970A1 (en) 2020-04-23

Similar Documents

Publication Publication Date Title
KR102664561B1 (ko) 패터닝된 유기금속 포토레지스트 및 패터닝 방법
JP7095060B2 (ja) 有機金属溶液に基づいた高解像度パターニング組成物
TWI811538B (zh) 有機金屬光阻顯影劑組合物及處理方法
TWI814552B (zh) 錫十二聚物及具有強euv吸收的輻射可圖案化塗層
JP2022541818A (ja) 有機金属の金属カルコゲナイドクラスター及びリソグラフィへの応用
KR20220035149A (ko) 기판 상의 무기 방사선 패터닝 조성물의 안정화된 인터페이스
TWI838557B (zh) 輻射圖案化組合物在基板上的穩定化界面
JP2023524969A (ja) 中間凍結工程による有機金属光パターニング可能層を用いたマルチパターニング
WO2024039626A1 (en) Additives for metal oxide photoresists, positive tone development with additives, and double bake double develop processing
KR20220155111A (ko) 반도체 포토레지스트용 조성물 및 이를 이용한 패턴 형성 방법

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant