KR20220152136A - 기판 처리 방법 및 기판 처리 장치 - Google Patents

기판 처리 방법 및 기판 처리 장치 Download PDF

Info

Publication number
KR20220152136A
KR20220152136A KR1020220050578A KR20220050578A KR20220152136A KR 20220152136 A KR20220152136 A KR 20220152136A KR 1020220050578 A KR1020220050578 A KR 1020220050578A KR 20220050578 A KR20220050578 A KR 20220050578A KR 20220152136 A KR20220152136 A KR 20220152136A
Authority
KR
South Korea
Prior art keywords
gas
partial pressure
concave portion
silicon
substrate processing
Prior art date
Application number
KR1020220050578A
Other languages
English (en)
Inventor
류타로 스다
마주 도무라
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220152136A publication Critical patent/KR20220152136A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

[과제] 에칭의 스루풋을 향상시키는 것, 에칭에 의해 형성되는 오목부의 형상 이상을 억제하는 것의 적어도 하나를 해결한다.
[해결수단] 기판 처리 방법은, 공정 a1)과 공정 a2)와 공정 a3)과 공정 a4)를 포함한다. 공정 a1)에서는, 챔버 내에 실리콘 함유 막을 포함하는 기판이 제공된다. 공정 a2)에서는, 챔버 내에 HF 가스를 포함하는 처리 가스가 공급된다. 공정 a3)에서는, 처리 가스로부터 생성된 플라즈마에 의해 실리콘 함유 막이 에칭되어, 실리콘 함유 막에 오목부가 형성된다. 공정 a4)에서는, 오목부의 어스펙트비 증가에 동반하여 HF 가스의 분압을 내리는 제어가 이루어진다.

Description

기판 처리 방법 및 기판 처리 장치{SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS}
본 개시의 다양한 측면 및 실시형태는 기판 처리 방법 및 기판 처리 장치에 관한 것이다.
예컨대 하기 특허문헌 1에는, 플라즈마를 이용하여 실리콘 함유 막을 에칭하는 기술이 개시되어 있다.
[특허문헌 1] 일본 특허공개 2016-39310호 공보
본 개시는, 에칭의 스루풋을 향상시키는 것, 에칭에 의해 형성되는 오목부의 형상 이상을 억제하는 것의 적어도 하나를 해결할 수 있는 기판 처리 방법 및 기판 처리 장치를 제공한다.
본 개시의 일 측면은, 기판 처리 방법이며, 공정 a1)과 공정 a2)와 공정 a3)과 공정 a4)를 포함한다. 공정 a1)에서는, 챔버 내에 실리콘 함유 막을 포함하는 기판이 제공된다. 공정 a2)에서는, 챔버 내에 HF 가스를 포함하는 처리 가스가 공급된다. 공정 a3)에서는, 처리 가스로부터 생성된 플라즈마에 의해 실리콘 함유 막이 에칭되어, 실리콘 함유 막에 오목부가 형성된다. 공정 a4)에서는, 오목부의 어스펙트비 증가에 동반하여 HF 가스의 분압을 내리는 제어가 이루어진다.
본 개시의 다양한 측면 및 실시형태에 의하면, 에칭의 스루풋을 향상시키는 것, 에칭에 의해 형성되는 오목부의 형상 이상을 억제하는 것의 적어도 하나를 해결할 수 있다.
도 1은 본 개시의 일 실시형태에 있어서의 플라즈마 처리 장치의 일례를 도시하는 도면이다.
도 2는 에칭이 이루어지는 기판의 단면의 일례를 도시하는 도면이다.
도 3(a)은 에천트(etchant)가 많은 경우의 오목부 단면의 일례를 도시하는 도면이다.
도 3(b)은 에천트가 적은 경우의 오목부 단면의 일례를 도시하는 도면이다.
도 4는 처리 시간과 에칭 레이트 관계의 일례를 도시하는 도면이다.
도 5는 오목부의 깊이와 에칭 레이트의 관계의 일례를 도시하는 도면이다.
도 6은 반응 부생성물의 증기압과 오목부의 어스펙트비의 관계의 일례를 설명하기 위한 도면이다.
도 7은 본 개시의 일 실시형태에 있어서의 기판 처리 방법의 일례를 도시하는 흐름도이다.
도 8은 에천트의 분압 변화의 일례를 도시하는 도면이다.
도 9는 기판 처리 방법의 다른 예를 도시하는 흐름도이다.
도 10은 에천트의 분압 변화의 다른 예를 도시하는 도면이다.
도 11은 에천트의 분압 변화의 다른 예를 도시하는 도면이다.
이하에, 개시되는 기판 처리 방법 및 기판 처리 장치의 실시형태에 관해서 도면에 기초하여 상세히 설명한다. 또한, 이하의 실시형태에 의해서, 개시되는 기판 처리 방법 및 기판 처리 장치가 한정되는 것은 아니다.
그런데, 에칭에서는, 에천트와 에칭 대상 막이 반응하고, 에천트와 반응한 에칭 대상 막의 부분이 휘발성의 반응 부생성물로 변화되어 제거됨으로써 에칭 대상 막에 오목부가 형성된다. 그러나, 에천트의 양이 많은 경우, 오목부의 바닥부에서는 반응 부생성물이 휘발하는 속도보다도 반응 부생성물이 생성되는 속도가 커져, 반응 부생성물이 휘발되지 않고서 오목부의 바닥부에 반응 부생성물이 퇴적되는 경우가 있다. 반응 부생성물이 오목부의 바닥부에 퇴적되면, 반응 부생성물에 의해서 에천트와 에칭 대상 막의 반응이 저해되어 에칭 레이트가 저하한다. 에칭 레이트의 저하가 계속되면 이윽고 에칭이 정지해 버린다.
또한, 에천트의 양이 많으면, 오목부의 바닥부가 끝이 가늘어지는 경우가 있다. 오목부의 바닥부가 끝이 가늘어지면, 오목부의 깊이 방향에 있어서 오목부가 구부러져 형성되어 버리는 경우가 있다. 오목부가 구부러져 형성되면, 이웃의 오목부와 이어져 버리는 등의 문제점이 발생한다.
한편, 에천트의 양이 적으면, 오목부의 바닥부의 단면은 직사각형으로 되지만, 에칭 레이트가 낮아지는 경우가 있다. 에칭 레이트가 낮으면, 오목부의 측벽이 에천트에 장시간 노출되게 되기 때문에, 오목부의 측벽에 보잉이 생기는 경우가 있다.
그래서 본 개시는, 에칭의 스루풋을 향상시키는 것, 에칭에 의해 형성되는 오목부의 형상 이상을 억제하는 것의 적어도 하나를 해결할 수 있는 기술을 제공한다.
[플라즈마 처리 장치(100)의 구성]
이하에 플라즈마 처리 장치(100)의 구성예에 관해서 설명한다. 도 1은 본 개시의 일 실시형태에 있어서의 플라즈마 처리 장치(100)의 일례를 도시하는 도면이다. 플라즈마 처리 장치(100)는 용량 결합형의 플라즈마 처리 장치이며, 장치 본체(1) 및 제어부(2)를 포함한다. 플라즈마 처리 장치(100)는 기판 처리 장치의 일례이다. 장치 본체(1)는 플라즈마 처리 챔버(10), 가스 공급부(20), 전원(30) 및 배기 시스템(40)을 포함한다. 또한, 장치 본체(1)는 기판 지지부(11) 및 가스 도입부를 포함한다. 가스 도입부는 적어도 하나의 처리 가스를 플라즈마 처리 챔버(10) 내에 도입하도록 구성된다. 가스 도입부는 샤워 헤드(13)를 포함한다. 기판 지지부(11)는 플라즈마 처리 챔버(10) 내에 배치되어 있다. 샤워 헤드(13)는 기판 지지부(11)의 위쪽에 배치되어 있다. 일 실시형태에 있어서, 샤워 헤드(13)는 플라즈마 처리 챔버(10)의 천장부(Ceiling)의 적어도 일부를 구성한다.
플라즈마 처리 챔버(10)는, 샤워 헤드(13), 플라즈마 처리 챔버(10)의 측벽(10a) 및 기판 지지부(11)에 의해 규정된 플라즈마 처리 공간(10s)을 갖는다. 플라즈마 처리 챔버(10)는, 적어도 하나의 처리 가스를 플라즈마 처리 공간(10s)에 공급하기 위한 적어도 하나의 가스 공급구와, 플라즈마 처리 공간(10s)으로부터 가스를 배출하기 위한 적어도 하나의 가스 배출구를 갖는다. 측벽(10a)은 접지되어 있다. 샤워 헤드(13) 및 기판 지지부(11)는 플라즈마 처리 챔버(10)의 하우징과는 전기적으로 절연되어 있다. 또한, 플라즈마 처리 장치(100)에는, 석영창(113)을 통과하여 플라즈마 처리 챔버(10) 내의 플라즈마 중의 각 파장의 빛의 강도를 측정할 수 있는 광센서(114)가 부착되어도 좋다.
기판 지지부(11)는 본체부(111) 및 링 어셈블리(112)를 포함한다. 본체부(111)는, 기판(W)을 지지하기 위한 중앙 영역인 기판 지지면(111a)과, 링 어셈블리(112)를 지지하기 위한 환상 영역인 링 지지면(111b)을 갖는다. 기판(W)은 웨이퍼라고 불리는 경우도 있다. 본체부(111)의 링 지지면(111b)은 평면에서 봤을 때 본체부(111)의 기판 지지면(111a)을 둘러싸고 있다. 기판(W)은 본체부(111)의 기판 지지면(111a) 상에 배치되고, 링 어셈블리(112)는 본체부(111)의 기판 지지면(111a) 상의 기판(W)을 둘러싸도록 본체부(111)의 링 지지면(111b) 상에 배치되어 있다.
일 실시형태에 있어서, 본체부(111)는 정전 척 및 베이스를 포함한다. 베이스는 도전성 부재를 포함한다. 베이스의 도전성 부재는 하부 전극으로서 기능한다. 정전 척은 베이스 위에 배치되어 있다. 정전 척의 상면은 기판 지지면(111a)이다.
링 어셈블리(112)는 하나 또는 복수의 환상 부재를 포함한다. 하나 또는 복수의 환상 부재 중 적어도 하나는 엣지 링이다. 또한, 도시는 생략하지만, 기판 지지부(11)는, 정전 척(1110), 링 어셈블리(112) 및 기판(W) 중 적어도 하나를 타겟 온도로 조절하도록 구성되는 온도 조절 모듈을 포함하여도 좋다. 온도 조절 모듈은 히터, 전열 매체, 유로 또는 이들의 조합을 포함하여도 좋다. 유로에는 브라인이나 가스와 같은 전열 유체가 흐른다. 또한, 기판 지지부(11)는 기판(W)과 기판 지지면(111a)의 사이에 전열 가스를 공급하도록 구성된 전열 가스 공급부를 포함하여도 좋다.
샤워 헤드(13)는, 가스 공급부(20)로부터의 적어도 하나의 처리 가스를 플라즈마 처리 공간(10s) 내에 도입하도록 구성된다. 샤워 헤드(13)는, 적어도 하나의 가스 공급구(13a), 적어도 하나의 가스 확산실(13b) 및 복수의 가스 도입구(13c)를 갖는다. 가스 공급구(13a)에 공급된 처리 가스는, 가스 확산실(13b)을 통과하여 복수의 가스 도입구(13c)로부터 플라즈마 처리 공간(10s) 내에 도입된다. 또한, 샤워헤드(13)는 도전성 부재를 포함한다. 샤워 헤드(13)의 도전성 부재는 상부 전극으로서 기능한다. 또한, 가스 도입부는, 샤워 헤드(13)에 더하여, 측벽(10a)에 형성된 하나 또는 복수의 개구부에 부착되는 하나 또는 복수의 사이드 가스 주입부(SGI: Side Gas Injector)를 포함하여도 좋다.
가스 공급부(20)는 적어도 하나의 가스 소스(21) 및 적어도 하나의 유량 제어기(22)를 포함하여도 좋다. 일 실시형태에 있어서, 가스 공급부(20)는, 적어도 하나의 처리 가스를, 대응하는 가스 소스(21)로부터 대응하는 유량 제어기(22)를 통해 샤워 헤드(13)에 공급하도록 구성되어 있다. 각 유량 제어기(22)는 예컨대 매스플로우 컨트롤러 또는 압력 제어식의 유량 제어기를 포함하여도 좋다. 가스 공급부(20)는 적어도 하나의 처리 가스의 유량을 변조 또는 펄스화하는 하나 또는 그 이상의 유량 변조 디바이스를 더 포함하여도 좋다.
전원(30)은 적어도 하나의 임피던스 정합 회로를 통해 플라즈마 처리 챔버(10)에 결합되는 RF(Radio Frequency) 전원(31)을 포함한다. RF 전원(31)은, 소스 RF 신호 및 바이어스 RF 신호와 같은 적어도 하나의 RF 신호를, 기판 지지부(11)의 도전성 부재, 샤워 헤드(13)의 도전성 부재 또는 그 양쪽에 공급하도록 구성되어 있다. 이로써, 플라즈마 처리 공간(10s)에 공급된 적어도 하나의 처리 가스로부터 플라즈마가 형성된다. 즉, 일 실시형태에 있어서, 기판 지지부(11)의 도전성 부재 또는 샤워 헤드(13)의 도전성 부재는, 플라즈마 처리 챔버(10)에 있어서 하나 또는 그 이상의 처리 가스로부터 플라즈마를 생성하도록 구성되는 플라즈마 생성부의 적어도 일부로서 기능할 수 있다. 또한, 바이어스 RF 신호를 기판 지지부(11)의 도전성 부재에 공급함으로써, 기판(W)에 바이어스 전위가 발생하여, 형성된 플라즈마 중의 이온 성분을 기판(W)으로 끌어들일 수 있다.
일 실시형태에 있어서, RF 전원(31)은 제1 RF 생성부(31a) 및 제2 RF 생성부(31b)를 포함한다. 제1 RF 생성부(31a)는, 적어도 하나의 임피던스 정합 회로를 통해 기판 지지부(11)의 도전성 부재, 샤워 헤드(13)의 도전성 부재 또는 그 양쪽에 결합되어, 플라즈마 생성용의 소스 RF 신호를 생성하도록 구성된다. 소스 RF 신호는 소스 RF 전력이라고 불러도 좋다. 일 실시형태에 있어서, 소스 RF 신호는 13 MHz∼150 MHz 범위 내의 주파수 신호를 갖는다. 일 실시형태에 있어서, 제1 RF 생성부(31a)는 다른 주파수를 갖는 복수의 소스 RF 신호를 생성하도록 구성되어도 좋다. 생성된 하나 또는 복수의 소스 RF 신호는 기판 지지부(11)의 도전성 부재, 샤워 헤드(13)의 도전성 부재 또는 그 양쪽에 공급된다.
제2 RF 생성부(31b)는, 적어도 하나의 임피던스 정합 회로를 통해 기판 지지부(11)의 도전성 부재에 결합되어, 바이어스 RF 신호를 생성하도록 구성된다. 바이어스 RF 신호는 바이어스 RF 전력이라고 불러도 좋다. 일 실시형태에 있어서, 바이어스 RF 신호는 소스 RF 신호보다 낮은 주파수를 갖는다. 일 실시형태에 있어서, 바이어스 RF 신호는 400 kHz∼13.56 MHz 범위 내의 주파수 신호를 갖는다. 일 실시형태에 있어서, 제2 RF 생성부(31b)는 다른 주파수를 갖는 복수의 바이어스 RF 신호를 생성하도록 구성되어도 좋다. 생성된 하나 또는 복수의 바이어스 RF 신호는 기판 지지부(11)의 도전성 부재에 공급된다. 또한, 다양한 실시형태에 있어서, 소스 RF 신호 및 바이어스 RF 신호 중 적어도 하나는 펄스화되어도 좋다.
또한, 전원(30)은 플라즈마 처리 챔버(10)에 결합되는 DC(Direct Current) 전원(32)을 포함하여도 좋다. DC 전원(32)은 제1 DC 생성부(32a) 및 제2 DC 생성부(32b)를 포함한다. 일 실시형태에 있어서, 제1 DC 생성부(32a)는 기판 지지부(11)의 도전성 부재에 접속되어 제1 DC 신호를 생성하도록 구성된다. 생성된 제1 DC 신호는 기판 지지부(11)의 도전성 부재에 인가된다. 다른 실시형태에 있어서, 제1 DC 신호는 정전 척(1110) 내의 전극(1110a)과 같은 다른 전극에 인가되어도 좋다. 일 실시형태에 있어서, 제2 DC 생성부(32b)는 샤워 헤드(13)의 도전성 부재에 접속되어 제2 DC 신호를 생성하도록 구성된다. 생성된 제2 DC 신호는 샤워 헤드(13)의 도전성 부재에 인가된다. 다양한 실시형태에 있어서, 제1 및 제2 DC 신호 중 적어도 하나는 펄스화되어도 좋다. 또한, 제1 DC 생성부(32a) 및 제2 DC 생성부(32b)는, RF 전원(31)에 더하여 설치되어도 좋고, 제1 DC 생성부(32a)가 제2 RF 생성부(31b) 대신에 설치되어도 좋다.
배기 시스템(40)은 예컨대 플라즈마 처리 챔버(10)의 바닥부에 형성된 가스 배출구(10e)에 접속될 수 있다. 배기 시스템(40)은 압력 조정 밸브 및 진공 펌프를 포함하여도 좋다. 압력 조정 밸브에 의해서 플라즈마 처리 공간(10s) 내의 압력이 조정된다. 진공 펌프는 터보 분자 펌프, 드라이 펌프 또는 이들의 조합을 포함하여도 좋다.
제어부(2)는, 본 개시에 있어서 설명되는 다양한 공정을 장치 본체(1)에 실행시키는 컴퓨터 실행 가능한 명령을 처리한다. 제어부(2)는, 여기서 설명되는 다양한 공정을 실행하기 위해 장치 본체(1)의 각 요소를 제어하도록 구성될 수 있다. 일 실시형태에 있어서, 제어부(2)의 일부 또는 전부가 장치 본체(1)에 포함되어도 좋다. 제어부(2)는 예컨대 컴퓨터(2a)를 포함하여도 좋다. 컴퓨터(2a)는 예컨대 처리부(2a1), 기억부(2a2) 및 통신 인터페이스(2a3)를 포함하여도 좋다. 처리부(2a1)는 기억부(2a2)에 저장된 프로그램에 기초하여 다양한 제어 동작을 행하도록 구성될 수 있다. 처리부(2a1)는 CPU(Central Processing Unit)를 포함하여도 좋다. 기억부(2a2)는 RAM(Random Access Memory), ROM(Read Only Memory), HDD(Hard Disk Drive), SSD(Solid State Drive) 또는 이들의 조합을 포함하여도 좋다. 통신 인터페이스(2a3)는 LAN(Local Area Network) 등의 통신 회선을 통해 장치 본체(1)와의 사이에서 통신을 행한다.
여기서, 도 1에 예시된 플라즈마 처리 장치(100)에 의해서 이루어지는 에칭에 관해서 설명한다. 에칭에서는 예컨대 도 2에 도시하는 것과 같은 기판(W)이 이용된다. 기판(W)은 에칭 대상 막인 실리콘 함유 막(50)과 실리콘 함유 막(50) 상에 형성된 마스크(51)를 갖는다. 마스크(51)에는 개구(51a)가 형성되어 있다.
본 실시형태에 있어서, 실리콘 함유 막(50)은 실리콘 산화막과 실리콘 질화막을 포함한다. 또한, 다른 형태로서, 실리콘 함유 막(50)은, 실리콘 산화막과 폴리실리콘막을 포함하는 막이라도 좋고, 실리콘 산화막과 실리콘 질화막과 폴리실리콘막을 포함하는 막이라도 좋다. 또한, 다른 형태로서, 실리콘 함유 막(50)은, 실리콘 산화막, 실리콘 산화막과 실리콘 질화막의 다층막 또는 실리콘 산화막과 폴리실리콘화막의 다층막이라도 좋다.
본 실시형태에 있어서, 마스크(51)는 실리콘 함유 막(50) 상에 마련되어 있다. 마스크(51)는 실리콘 함유 막(50)의 에칭 레이트보다 낮은 에칭 레이트를 갖는 재료로 형성된다. 마스크(51)는 유기 재료로 형성될 수 있다. 즉, 마스크(51)는 탄소를 함유하여도 좋다. 마스크(51)는 예컨대 아모르퍼스 카본막, 포토레지스트막 또는 스핀온 카본막(SOC막)으로 형성될 수 있다. 혹은 마스크(51)는 실리콘 함유 반사방지막과 같은 실리콘 함유 막으로 형성되어도 좋다. 혹은 마스크(51)는 질화티탄, 텅스텐, 탄화텅스텐과 같은 금속 함유 재료로 형성된 금속 함유 마스크라도 좋다.
에칭에서는, 도 2에 예시된 기판(W)이 플라즈마 처리 챔버(10) 내에 반입되어, 기판 지지부(11) 상에 실린다. 그리고, 본체부(111)의 정전 척에 전압이 공급됨으로써, 기판(W)이 기판 지지면(111a)에 흡착 유지된다. 그리고, 배기 시스템(40)에 의해서 플라즈마 처리 챔버(10) 내의 가스가 배기되고, 샤워 헤드(13)를 통해 가스 공급부(20)로부터 플라즈마 처리 챔버(10) 내에 처리 가스가 공급된다. 본 실시형태에 있어서, 처리 가스에는 HF 가스가 포함된다. 본 실시형태에 있어서, HF 가스는 에천트의 일례이다.
또한, 처리 가스에는 탄소 함유 가스가 포함되어 있어도 좋다. 이러한 탄소 함유 가스로서는 예컨대 플루오로카본 가스(CF계 가스) 및 하이드로플루오로카본 가스(CHF계 가스)로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것이 바람직하다. CF계 가스로서는 예컨대 CF4 가스, C2F2 가스, C2F4 가스, C3F8 가스, C4F6 가스, C4F8 가스 및 C5F8 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 일례로서 CF계 가스는 C4F6, C4F8이다. 또한, CHF계 가스로서는 예컨대 CHF3 가스, CH2F2 가스, CH3F 가스, C2HF5 가스, C2H2F4 가스, C2H3F3 가스, C2H4F2 가스, C3HF7 가스, C3H2F2 가스, C3H2F4 가스, C3H2F6 가스, C3H3F5 가스, C4H2F6 가스, C4H2F8 가스, C4H5F5 가스, C5H2F6 가스, C5H2F10 가스 및 C5H3F7 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 일례로서 CHF계 가스는 C3H2F4 가스, C3H2F6 가스, C4H2F6 가스 및 C4H2F8 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스이다. 이러한 가스가 처리 가스에 포함됨으로써, 마스크(51)의 표면에 탄소를 포함하는 퇴적물이 형성되어, 마스크(51)의 에칭이 억제된다. 이 때문에, 마스크(51)의 에칭 레이트에 대한 실리콘 함유 막의 에칭 레이트의 비(선택비)를 개선할 수 있다.
또한, 처리 가스에는, 인 함유 가스, 황 함유 가스 및 붕소 함유 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스가 포함되어 있어도 좋다. 인 함유 가스로서는 예컨대 PF3 가스, PF5 가스, POF3 가스, HPF6 가스, PCl3 가스, PCl5 가스, POCl3 가스, PBr3 가스, PBr5 가스, POBr3 가스, PI3 가스, P4O10 가스, P4O8 가스, P4O6 가스, PH3 가스, Ca3P2 가스, H3PO4 가스 및 Na3PO4 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 황 함유 가스로서는 예컨대 SF6 가스, SO2 가스 및 COS 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 붕소 함유 가스로서는 예컨대 BCl3 가스, BF3 가스, BBr3 가스 및 B2H6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 이들 가스는 에칭에 의해 형성되는 오목부의 측벽에 퇴적하여 보호막을 형성한다. 이 때문에 오목부에 보잉이 생기는 것을 억제할 수 있다.
또한, 처리 가스는 할로겐 함유 가스를 포함하여도 좋다. 할로겐 함유 가스는 탄소를 함유하지 않아도 좋다. 할로겐 함유 가스는, 불소 함유 가스라도 좋고, 불소 이외의 할로겐 원소를 함유하는 가스라도 좋다. 불소 함유 가스는 예컨대 NF3 가스, SF6 가스, BF3 가스 등의 가스를 포함하고 있어도 좋다. 불소 이외의 할로겐 원소를 함유하는 가스는 예컨대 염소 함유 가스, 브롬 함유 가스 및 요오드 함유 가스로 이루어지는 군에서 선택되는 적어도 1종의 가스라도 좋다. 염소 함유 가스는 예컨대 Cl2 가스, HCl 가스, SiCl2 가스, SiCl4 가스, CCl4 가스, SiH2Cl2 가스, Si2Cl6 가스, CHCl3 가스, CH2Cl2 가스, CH3Cl 가스, SO2Cl2 가스, BCl3 가스 등이다. 브롬 함유 가스는 예컨대 Br2 가스, HBr 가스, CBr2F2 가스, C2F5Br 가스, PBr3 가스, PBr5 가스, POBr3 가스, BBr3 가스 등이다. 요오드 함유 가스는 예컨대 HI, CF3I, C2F5I, C3F7I, IF5, IF7, I2, PI3 등이다. 이들 할로겐 함유 분자로부터 생성되는 화학종은 플라즈마 에칭으로 형성되는 오목부의 형상을 제어하기 위해서 이용된다.
또한, 처리 가스는 산소 함유 가스를 포함하여도 좋다. 산소 함유 가스는 예컨대 O2, CO, CO2, H2O 및 H2O2로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 일례로서 처리 가스는, H2O 이외의 산소 함유 가스, 즉, O2, CO, CO2 및 H2O2로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 포함한다. 산소 함유 가스는 에칭에 있어서의 마스크(51)의 개구(51a) 폐색을 억제할 수 있다.
이 밖에, 처리 가스는 불활성 가스를 포함하여도 좋다. 불활성 가스로서는 예컨대 질소 가스 외에 Ar, Kr 및 Xe 등의 희가스를 사용할 수 있다.
그리고, RF 전원(31)으로부터 소스 RF 신호가 기판 지지부(11)의 도전성 부재 또는 샤워 헤드(13)의 도전성 부재에 공급된다. 이로써, 플라즈마 처리 챔버(10) 내에 있어서 처리 가스로부터 플라즈마가 생성된다. 그리고, RF 전원(31)으로부터 바이어스 RF 신호가 기판 지지부(11)의 도전성 부재에 공급된다. 이로써, 기판(W)에 바이어스 전위가 발생하여, 플라즈마 중의 이온 성분이 기판(W)으로 끌려들어가고, 마스크(51)에 형성된 개구(51a)를 따라 실리콘 함유 막(50)에 오목부(52)가 형성된다. 또한, RF 전원(31)으로부터의 바이어스 RF 신호 대신에, DC 전원(32)으로부터 제1 DC 신호가 기판 지지부(11)의 도전성 부재에 인가되어도 좋다. 또한, DC 전원(32)으로부터 제2 DC 신호가 샤워 헤드(13)의 도전성 부재에 인가되어도 좋다.
여기서, 에천트의 양이 많은 경우, 즉 에천트의 분압이 높은 경우, 에칭의 초기 단계에서는 높은 에칭 레이트를 실현할 수 있다. 그러나, 에천트의 분압이 높은 경우, 오목부(52)의 바닥부에서는 반응 부생성물이 휘발하는 속도보다도 반응 부생성물이 생성되는 속도가 커진다. 이로써, 반응 부생성물이 휘발하기 어렵게 되어, 예컨대 도 3(a)에 도시하는 것과 같이 오목부(52)의 바닥부에 반응 부생성물(53)이 퇴적된다. 반응 부생성물(53)이 오목부(52)의 바닥부에 퇴적되면, 반응 부생성물(53)에 의해서 에천트와 실리콘 함유 막(50)의 반응이 저해되어, 에칭 레이트가 저하되어 버린다. 에칭 레이트의 저하가 계속되면, 이윽고 에칭이 정지해 버리는 경우가 있다.
또한, 에천트의 분압이 높으면, 예컨대 도 3(a)에 도시하는 것과 같이 오목부(52)의 바닥부가 끝이 가늘어진다. 오목부(52)의 바닥부가 끝이 가늘어지면, 오목부(52)의 깊이 방향에 있어서 오목부(52)가 구부러져 형성되어 버리는 경우가 있다. 오목부(52)가 구부러져 형성되면, 이웃의 오목부(52)와 이어져 버리는 등의 문제점이 발생하는 경우가 있다.
한편, 에천트의 분압이 낮은 경우, 예컨대 도 3(b)에 도시하는 것과 같이, 오목부(52)의 바닥부의 단면은 직사각형으로 되지만, 에천트의 양이 적기 때문에 에칭 레이트가 낮아진다. 에칭 레이트가 낮으면, 오목부(52)의 측벽이 에천트에 장시간 노출되게 된다. 이로써, 예컨대 도 3(b)에 도시하는 것과 같이, 오목부(52)의 측벽에 있어서, 가로 방향으로의 에칭이 진행되어, 소위 보잉이 촉진되어 버리는 경우가 있다.
[실험 결과]
이어서, 다양한 에칭 조건에 있어서, 에칭 레이트의 변화를 측정하는 실험을 행했다. 도 4는 처리 시간과 에칭 레이트의 관계의 일례를 도시하는 도면이다. 도 5는 오목부(52)의 깊이와 에칭 레이트의 관계의 일례를 도시하는 도면이다. 도 4 및 도 5에 예시된 실험 1∼실험 4에 있어서의 주된 처리 조건은 이하와 같다.
[실험 1]
플라즈마 처리 챔버(10) 내의 압력: 27 mTorr
처리 가스: HF 가스
소스 RF 신호: 40 MHz, 4500 W
바이어스 RF 신호: 400 kHz, 7000 W
기판(W)의 온도: -40℃
[실험 2]
플라즈마 처리 챔버(10) 내의 압력: 10 mTorr
처리 가스: HF 가스
소스 RF 신호: 40 MHz, 4500 W
바이어스 RF 신호: 400 kHz, 7000 W
기판(W)의 온도: -40℃
[실험 3]
플라즈마 처리 챔버(10) 내의 압력: 27 mTorr
처리 가스: HF 가스, Ar 가스, O2 가스
소스 RF 신호: 40 MHz, 4500 W
바이어스 RF 신호: 400 kHz, 7000 W
기판(W)의 온도: -40℃
[실험 4]
플라즈마 처리 챔버(10) 내의 압력: 27 mTorr
처리 가스: HF 가스, BCl3 가스, C4F8 가스
소스 RF 신호: 40 MHz, 4500 W
바이어스 RF 신호: 400 kHz, 7000 W
기판(W)의 온도: -40℃
도 4 및 도 5를 참조하면, 에천트인 HF 가스의 분압이 높은 조건(실험 1)에서는, 에칭의 초기 단계에서는 높은 에칭 레이트가 실현되지만, 에칭의 진행에 따라 에칭 레이트가 급격히 저하하고 있다. 즉, 에천트의 분압이 높은 조건에서는, 오목부(52)의 어스펙트비 증가에 동반하여 에칭 레이트가 급격히 저하하고 있다.
한편, 플라즈마 처리 챔버(10) 내의 압력을 내림으로써 HF 가스의 분압을 내리는 조건(실험 2)에서는, 에칭의 초기 단계에서는 실험 1의 조건과 비교하여 에칭 레이트는 낮지만, 에칭 레이트의 저하가 실험 1의 조건과 비교하여 억제되고 있다. 또한, 처리 가스에 에천트인 HF 가스 이외의 첨가 가스를 가함으로써 HF 가스의 분압을 내린 조건(실험 3) 및 HF 가스를 스캐벤지(scavenge)하는 효과를 갖는 가스를 가함으로써 HF 가스의 분압을 내린 조건(실험 4)에서도, 에칭의 진행에 동반되는 에칭 레이트의 저하가 실험 1의 조건와 비교하여 억제되고 있다. 즉, 에천트의 분압이 낮은 조건(실험 2∼4)에서는, 에칭의 초기 단계에서는 실험 1의 조건과 비교하여 에칭 레이트는 낮지만, 오목부(52)의 어스펙트비 증가에 동반되는 에칭 레이트의 저하가 억제되고 있다. 또한, HF 가스를 스캐벤지하는 효과를 갖는 가스란, HF 가스에 포함되는 원소와 반응하여 HF 가스와는 다른 화합물을 생성하여, 플라즈마 처리 챔버(10) 내의 HF 가스를 제거 내지 감소시키는 가스이다.
[반응 부생성물(53)의 증기압과 오목부(52)의 어스펙트비의 관계]
도 6은 반응 부생성물(53)의 증기압과 오목부(52)의 어스펙트의 관계의 일례를 설명하기 위한 도면이다. 예컨대 도 6에 도시하는 것과 같이, 반응 부생성물(53)의 유속을 ΓBy, 오목부(52) 내의 깊이 방향의 위치를 AR로 하면, 오목부(52) 내의 압력 p(AR)은 예컨대 하기의 식 (1)과 같이 표시된다.
Figure pat00001
상기한 식 (1)에 있어서, P0은 플라즈마 처리 챔버(10) 내의 압력, m은 에천트의 분자의 질량, k은 볼츠만 상수, T는 기판(W)의 온도를 나타낸다.
상기한 식 (1)로부터 분명한 것과 같이, 오목부(52) 내의 압력 p(AR)은 오목부(52)의 깊이 AR에 비례하고 있다. 즉, 오목부(52)의 바닥부의 압력 p(ARmax)은 오목부(52)의 어스펙트비에 비례한다.
오목부(52)의 바닥부에서는, 생성된 반응 부생성물(53)이 휘발함으로써 오목부(52)의 깊이 방향에 있어서의 에칭이 진행된다. 오목부(52)의 바닥부에 있어서, 생성된 반응 부생성물(53)이 휘발하기 위해서는, 반응 부생성물(53)의 증기압 Pd가 오목부(52)의 바닥부의 압력 p(ARmax)보다 클 필요가 생긴다. 즉, 오목부(52)의 깊이 방향에 있어서의 에칭이 진행되기 위해서는, 반응 부생성물(53)의 증기압 Pd와 오목부(52)의 바닥부의 압력 p(ARmax)은 하기의 식 (2)의 관계를 만족할 필요가 있다.
Figure pat00002
상기한 식 (2)를 변형하면 하기의 식 (3)과 같이 된다.
Figure pat00003
반응 부생성물(53)의 유속 ΓBy는 에칭 레이트에 비례하기 때문에, 상기한 식 (3)으로부터 이하의 점을 알 수 있다.
1. 에칭 레이트가 높아지면 오목부(52) 내의 압력이 상승한다.
2. 오목부(52) 내의 압력 p(AR)이 반응 부생성물(53)의 증기압 Pd를 넘으면반응 부생성물(53)은 휘발하지 않게 된다.
3. 반응 부생성물(53)이 휘발하지 않게 되면 에칭의 진행이 멈춘다.(단, 물리적인 스퍼터링은 계속된다)
그리고, 이하의 결론을 얻을 수 있다.
·반응 부생성물(53)의 유속 ΓBy의 상한치는 반응 부생성물(53)의 증기압 Pd와 오목부(52)의 어스펙트비로 결정된다.
·반응 부생성물(53)의 유속 ΓBy는 에칭 레이트에 비례하기 때문에, 에칭 레이트의 상한치도 반응 부생성물(53)의 증기압 Pd와 오목부(52)의 어스펙트비로 결정된다.
·기판(W)의 온도가 낮을수록 에칭 레이트가 저하한다.
·플라즈마 처리 챔버(10) 내의 압력이 높을수록 에칭 레이트가 저하한다.
따라서, 어스펙트비가 작은 에칭의 초기 단계에서는, 에천트의 분압을 높임으로써, 에칭 레이트를 높이는 것이 바람직하다. 또한, 어스펙트비가 커짐에 따라, 반응 부생성물(53)이 휘발하기 어렵게 되어, 에칭 레이트가 저하한다. 이 때문에, 에천트의 분압을 낮춤으로써, 반응 부생성물(53)의 휘발을 촉진하여, 에칭 레이트의 저하를 억제하는 것이 바람직하다. 이로써, 전체적으로 오목부(52)를 형성하기 위한 에칭의 스루풋을 높일 수 있다.
또한, 에천트의 분압을 낮춤으로써, 예컨대 도 3(b)에 도시한 것과 같이, 반응 부생성물(53)의 바닥부의 단면을 직사각형으로 형성할 수 있다. 이로써, 오목부(52)의 형상을 미리 정해진 형상에 가깝게 할 수 있다. 또한, 에천트의 분압을 낮춤으로써, 오목부(52)의 바닥부가 끝이 가늘어지는 것을 억제할 수 있기 때문에, 오목부(52)의 깊이 방향에 있어서 오목부(52)가 구부러져 형성되어 버리는 것을 방지할 수 있다.
또한, 어스펙트비가 작은 에칭의 초기 단계에서는, 에천트의 분압을 높임으로써 에칭 레이트를 높여, 원하는 깊이의 오목부(52)가 형성되기까지의 시간을 짧게 할 수 있다. 이로써, 오목부(52)의 측벽이 에천트에 노출되게 되는 시간을 짧게 할 수 있어, 오목부(52) 측벽이 가로 방향으로 넓어지는 것을 억제할 수 있다.
에천트의 분압을 내리는 방법으로는 예컨대 네 가지의 방법을 생각할 수 있다. 제1 방법은 플라즈마 처리 챔버(10) 내의 압력을 내림으로써 에천트의 분압을 내리는 방법이다. 제2 방법은 플라즈마 처리 챔버(10) 내에 희석 가스를 첨가하는 방법이다. 희석 가스로서는, 에칭에 기여하지 않는 가스인 것이 바람직하며, 예컨대 아르곤 가스 등의 희가스 및 질소 가스 등의 불활성 가스를 생각할 수 있다. 이와 같이 하여도 플라즈마 처리 챔버(10) 내에 있어서의 에천트의 분압을 내릴 수 있다. 또한, 제2 방법에서는, 희석 가스를 첨가할 때, 플라즈마 처리 챔버(10) 내의 압력은 일정하게 유지되어도 좋고, 유지되지 않아도 좋다.
제3 방법은 에천트를 스캐벤지하는 기능을 갖는 반응성 가스를 플라즈마 처리 챔버(10) 내에 공급하는 방법이다. 보다 구체적으로는, 에천트에 포함되는 원소와 반응하여 에천트와는 다른 화합물을 생성하는 반응성 가스를 플라즈마 처리 챔버(10) 내에 공급하는 방법이다. 에천트가 HF 가스인 경우, 반응성 가스에는 예컨대 염소 함유 가스를 이용할 수 있다. 염소 함유 가스로서는 예컨대 Cl2 가스, HCl 가스, CHCl3 가스, CH2Cl2 가스, CH3Cl 가스, CCl4 가스, BCl3 가스, SiCl4 가스, SiH2Cl2 가스 및 Si2Cl6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 이러한 방법에 의해서도 플라즈마 처리 챔버(10) 내의 에천트의 분자를 감소시킬 수 있고, 플라즈마 처리 챔버(10) 내에 있어서의 에천트의 분압을 내릴 수 있다. 또한, 상술한 것과 같이, 처리 가스는 할로겐 함유 가스로서 염소 함유 가스를 포함하는 경우가 있다. 이 경우, 반응성 가스로서의 염소 함유 가스를 첨가함으로써, 즉, 염소 함유 가스의 유량비를 증가시킴으로써, 플라즈마 처리 챔버(10) 내에 있어서의 에천트의 분압을 내릴 수 있다.
제4 방법은 플라즈마 처리 챔버(10) 내에 공급하는 에천트의 유량을 감소시키는 방법이다. 에천트가 HF 가스인 경우, 플라즈마 처리 챔버(10) 내에 공급하는 HF 가스의 유량을 감소시킴으로써, 플라즈마 처리 챔버(10) 내에 있어서의 HF 가스의 분압을 내릴 수 있다.
[기판 처리 방법]
도 7은 본 개시의 일 실시형태에 있어서의 기판 처리 방법의 일례를 도시하는 흐름도이다. 도 7에 예시된 각 처리는 제어부(2)가 장치 본체(1)의 각 부를 제어함으로써 실현된다.
우선, 제어부(2)는 도시하지 않는 반송 장치를 제어하여, 예컨대 도 2에 도시된 기판(W)을 플라즈마 처리 챔버(10) 내에 반입한다(S10). 그리고, 기판(W)은 기판 지지부(11) 상에 실리고, 본체부(111)의 정전 척에 전압이 공급됨으로써, 기판(W)이 기판 지지면(111a)에 흡착 유지된다. 단계 S10은 공정 a1), 공정 b1), 공정 c1) 및 공정 d1)의 일례이다.
이어서, 배기 시스템(40)에 의해서 플라즈마 처리 챔버(10) 내의 가스가 배기되어, 플라즈마 처리 챔버(10) 내에 HF 가스를 포함하는 처리 가스의 공급이 시작된다(S11). 그리고, 플라즈마 처리 챔버(10) 내의 압력이 미리 정해진 압력 P1로 조정된다. 압력 P1은 예컨대 5 mTorr(0.65 Pa) 이상, 100 mTorr(13.3 Pa) 이하라도 좋다. 단계 S11은 공정 a2), 공정 b2), 공정 c2) 및 공정 d2)의 일례이다.
이어서, 에칭이 시작된다(S12). 단계 S12는 공정 a3), 공정 b3), 공정 c3) 및 공정 d3)의 일례이다. 단계 S12에서는, RF 전원(31)으로부터 소스 RF 신호가 기판 지지부(11)의 도전성 부재 또는 샤워 헤드(13)의 도전성 부재에 공급됨으로써, 플라즈마 처리 챔버(10) 내에 있어서 처리 가스로부터 플라즈마가 생성된다. 소스 RF 신호는 예컨대 2 kW 이상 10 kW 이하로 설정될 수 있다. 그리고, RF 전원(31)으로부터 바이어스 RF 신호가 기판 지지부(11)의 도전성 부재에 공급된다. 이로써, 기판(W)에 바이어스 전위가 발생하여, 플라즈마 중의 이온 성분이 기판(W)으로 끌려들어가, 기판(W)에 대한 에칭이 시작된다. 바이어스 RF 신호는 예컨대 2 kW 이상으로 설정될 수 있다. 바이어스 RF 신호의 전력 레벨은 10 kW 이상으로 설정되어도 좋다.
또한, 바이어스 RF 신호 대신에 바이어스 RF 신호 이외의 전기 바이어스를 기판 지지부(11)의 도전성 부재에 공급하여도 좋다. 일례로서 전기 바이어스는 직류 전압이다. 전기 바이어스는 기판(W)에 음의 전위가 생기도록 기판 지지부(11)의 도전성 부재에 공급되어도 좋다. 전기 바이어스는 연속적으로 공급되어도 좋고, 주기적으로 공급되어도 좋다. 전기 바이어스가 주기적으로 공급되는 경우, 전기 바이어스의 주기는 2개의 기간을 포함한다. 2개의 기간 중 한쪽 기간에 있어서의 전기 바이어스는 부극성의 전압이다. 2개의 기간 중 한쪽 기간에 있어서의 전압의 레벨(즉, 절대치)은 2개의 기간 중 다른 쪽 기간에 있어서의 전압의 레벨(즉, 절대치)보다 높다. 다른 쪽 기간에 있어서의 전압은 부극성, 정극성의 어느 것이라도 좋다. 다른 쪽 기간에 있어서의 부극성 전압의 레벨은, 제로보다 크더라도 좋고, 제로라도 좋다.
전기 바이어스는 펄스파라도 좋고, 연속파라도 좋다. 전기 바이어스가 펄스파인 경우, 전기 바이어스는 구형파(矩形波)의 펄스라도 좋고, 삼각파의 펄스라도 좋고, 임펄스라도 좋으며, 또는 그 밖의 전압 파형의 펄스라도 좋다.
또한, 단계 S12에 있어서, 기판 지지부(11)의 온도를 저온으로 제어하여도 좋다. 기판 지지부(11)의 온도는 예컨대 20℃ 이하, 0℃ 이하, -10℃ 이하, -20℃ 이하, -30℃ 이하, -40℃ 이하 또는 -70℃ 이하라도 좋다. 기판 지지부(11)의 온도는 도시하지 않는 칠러 유닛으로부터 공급되는 열교환 매체에 의해 조정될 수 있다.
이어서, 제어부(2)는, 오목부(52)의 어스펙트비가 미리 정해진 제1 어스펙트비 AR1에 달했는지 여부를 판정한다(S13). 제어부(2)는, 예컨대 에칭의 계속 시간이 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달하기까지의 에칭의 계속 시간에 달했는지 여부를 판정함으로써, 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달했는지 여부를 판정한다. 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달하기까지의 에칭의 계속 시간은 미리 실험에 의해 측정된다. 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달하지 않은 경우(S13: No), 다시 단계 S13에 기재된 처리가 실행된다.
한편, 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달한 경우(S13: Yes), 제어부(2)는, 에천트의 분압을 압력 P1에서 압력 P1보다 낮은 압력 P2로 내리도록 장치 본체(1)의 각 부를 제어한다(S14). 단계 S14는 공정 a4), 공정 b4), 공정 c4) 및 공정 d4)의 일례이다. 제어부(2)는, 예컨대 플라즈마 처리 챔버(10) 내의 압력을 내리도록 가스 공급부(20) 및 배기 시스템(40)을 제어함으로써, 에천트의 분압을 내린다. 또한, 에천트의 분압을 내리는 방법은 플라즈마 처리 챔버(10) 내에 에천트 이외의 가스를 첨가하는 방법이라도 좋다. 또한, 에천트의 분압을 내리는 방법은 에천트에 포함되는 원소와 반응하여 에천트를 스캐벤지하는 반응성 가스를 플라즈마 처리 챔버(10) 내에 공급하는 방법이라도 좋다.
이로써, 에천트의 분압은, 예컨대 도 8에 도시하는 것과 같이, 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달할 때까지는 압력 P1로 유지된다. 그리고, 오목부(52)의 어스펙트비가 제1 어스펙트비 AR1에 달한 후에는, 에천트의 분압은 압력 P1보다 낮은 압력 P2로 변경된다.
이어서, 제어부(2)는, 오목부(52)의 어스펙트비가 미리 정해진 제2 어스펙트비 AR2에 달했는지 여부를 판정한다(S15). 오목부(52)의 어스펙트비가 제2 어스펙트비 AR2에 달하지 않은 경우(S15: No), 다시 단계 S15에 기재된 처리가 실행된다. 한편, 오목부(52)의 어스펙트비가 제2 어스펙트비 AR2에 달한 경우(S15: Yes), 도 7에 예시된 기판 처리 방법이 종료된다.
이상, 일 실시형태에 관해서 설명했다. 상기한 것과 같이, 본 실시형태에 있어서의 기판 처리 방법은 공정 a1)과 공정 a2)와 공정 a3)과 공정 a4)를 포함한다. 공정 a1)에서는, 플라즈마 처리 챔버(10) 내에 실리콘 함유 막(50)을 포함하는 기판(W)이 제공된다. 공정 a2)에서는, 플라즈마 처리 챔버(10) 내에 HF 가스를 포함하는 처리 가스가 공급된다. 공정 a3)에서는, 처리 가스로부터 생성된 플라즈마에 의해 실리콘 함유 막(50)이 에칭되어, 실리콘 함유 막(50)에 오목부(52)가 형성된다. 공정 a4)에서는, 오목부(52)의 어스펙트비 증가에 동반하여 HF 가스의 분압을 내리는 제어가 이루어진다. 이로써, 에칭의 스루풋을 향상시킴과 더불어 에칭에 의해 형성되는 오목부(52)의 형상 이상을 억제할 수 있다.
또한, 상기한 실시형태에 있어서, 공정 a4)에서는, 플라즈마 처리 챔버(10) 내의 압력을 내림으로써 HF 가스의 분압을 내린다. 이로써, 에천트의 분압을 내릴 수 있다.
또한, 상기한 실시형태에 있어서, 공정 a4)에서는, 플라즈마 처리 챔버(10) 내에 희석 가스를 첨가함으로써 HF 가스의 분압을 내리더라도 좋다. 이때, 플라즈마 처리 챔버(10) 내의 압력을 유지하면서 HF 가스의 분압을 내리더라도 좋다. 이와 같이 하여도 에천트의 분압을 내릴 수 있다.
또한, 상기한 실시형태에 있어서, 공정 a4)에서는, HF 가스의 분자에 포함되는 원소와 반응하여 HF 가스를 스캐벤지하는 반응성 가스를 플라즈마 처리 챔버(10) 내에 공급함으로써, 플라즈마 처리 챔버(10) 내의 HF 가스의 분압을 내리더라도 좋다. 이와 같이 하여도 에천트의 분압을 내릴 수 있다.
또한, 상기 한 실시형태에 있어서, 공정 a4)에서는, 플라즈마 처리 챔버(10) 내에 공급하는 HF 가스의 유량을 감소시킴으로써, 플라즈마 처리 챔버(10) 내의 HF 가스의 분압을 내리더라도 좋다. 이와 같이 하여도 에천트의 분압을 내릴 수 있다.
또한, 상기한 실시형태에 있어서, 반응성 가스는 예컨대 염소 함유 가스이다. 염소 함유 가스는 예컨대 Cl2 가스, HCl 가스, CHCl3 가스, CH2Cl2 가스, CH3Cl 가스, CCl4 가스, BCl3 가스, SiCl4 가스, SiH2Cl2 가스 및 Si2Cl6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스라도 좋다. 이러한 가스를 플라즈마 처리 챔버(10) 내에 첨가함으로써, 플라즈마 처리 챔버(10) 내의 HF 가스의 분압을 내릴 수 있다.
또한, 상기한 실시형태에 있어서, 처리 가스에는 탄소 함유 가스가 포함되어 있어도 좋다. 이러한 탄소 함유 가스로서는 예컨대 CF계 가스 및 CHF계 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것이 바람직하다. CF계 가스로서는 예컨대 CF4 가스, C2F2 가스, C2F4 가스, C3F8 가스, C4F6 가스, C4F8 가스 및 C5F8 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 또한, CHF계 가스로서는 예컨대 CHF3 가스, CH2F2 가스, CH3F 가스, C2HF5 가스, C2H2F4 가스, C2H3F3 가스, C2H4F2 가스, C3HF7 가스, C3H2F2 가스, C3H2F6 가스, C3H2F4 가스, C3H3F5 가스, C4H5F5 가스, C4H2F6 가스, C4H2F8 가스, C5H2F6 가스, C5H2F10 가스 및 C5H3F7 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 들 수 있다. 이러한 가스가 처리 가스에 포함됨으로써 마스크(51)의 표면에 탄소를 포함하는 퇴적물이 형성되어, 마스크(51)의 에칭이 억제된다. 이 때문에, 마스크(51)의 에칭 레이트에 대한 실리콘 함유 막의 에칭 레이트의 비(선택비)를 개선할 수 있다.
또한, 상기한 실시형태에 있어서, 처리 가스에는 인 함유 가스, 황 함유 가스 및 붕소 함유 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스가 포함되어 있어도 좋다. 인 함유 가스로서는 예컨대 PF3 가스, PF5 가스, POF3 가스, HPF6 가스, PCl3 가스, PCl5 가스, POCl3 가스, PBr3 가스, PBr5 가스, POBr3 가스, PI3 가스, P4O10 가스, P4O8 가스, P4O6 가스, PH3 가스, Ca3P2 가스, H3PO4 가스 및 Na3PO4 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 황 함유 가스로서는 예컨대 SF6 가스, SO2 가스 및 COS 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 붕소 함유 가스로서는 예컨대 BCl3 가스, BF3 가스, BBr3 가스 및 B2H6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 이용하여도 좋다. 이들 가스는 에칭에 의해 형성되는 오목부(52)의 측벽에 퇴적하여 보호막을 형성한다. 이 때문에, 오목부(52)에 보잉이 생기는 것을 억제할 수 있다.
또한, 상기한 실시형태에 있어서, 실리콘 함유 막은, 실리콘 산화막과, 실리콘 질화막 및 폴리실리콘막으로 이루어지는 군에서 선택되는 적어도 1종류의 막을 포함한다.
또한, 상기한 실시형태에 있어서, 실리콘 함유 막은 실리콘 산화막, 실리콘 산화막과 실리콘 질화막의 다층막 또는 실리콘 산화막과 폴리실리콘화막의 다층막이다.
또한, 상기한 실시형태에 있어서의 플라즈마 처리 장치는, 플라즈마 처리 챔버(10)와 기판 지지부(11)와 플라즈마 생성부(기판 지지부(11)의 도전성 부재 또는 샤워 헤드(13)의 도전성 부재)와 제어부(2)를 구비한다. 플라즈마 처리 챔버(10)는 가스 공급구(13a)와 가스 배출구(10e)를 갖는다. 기판 지지부(11)는 플라즈마 처리 챔버(10) 내에 마련된다. 기판 지지부(11)에는 기판(W)이 실린다. 플라즈마 생성부는 플라즈마 처리 챔버(10) 내에 공급된 처리 가스로부터 플라즈마를 생성한다. 제어부(2)는 공정 d1)과 공정 d2)와 공정 d3)과 공정 d4)를 포함하는 처리를 실행하도록 구성된다. 공정 d1)에서는, 제어부(2)는 기판 지지부(11)에 실리콘 함유 막(50)을 갖는 기판(W)을 배치한다. 공정 d2)에서는, 가스 공급구(13a)로부터 플라즈마 처리 챔버(10) 내에 HF 가스를 포함하는 처리 가스를 공급한다. 공정 d3)에서는, 제어부(2)는, 처리 가스로부터 플라즈마를 생성하고, 생성된 플라즈마에 의해 실리콘 함유 막(50)을 에칭하여, 실리콘 함유 막(50)에 오목부(52)를 형성한다. 공정 d4)에서는, 제어부(2)는 오목부(52)의 어스펙트비 증가에 동반하여 HF 가스의 분압을 내리도록 제어한다. 이로써, 에칭의 스루풋을 향상시키는 것, 에칭에 의해 형성되는 오목부(52)의 형상 이상을 억제하는 것의 적어도 하나를 해결할 수 있다.
[기타]
또한, 본원에 개시된 기술은 상기한 실시형태에 한정되는 것은 아니며, 그 요지의 범위 내에서 수많은 변형이 가능하다.
예컨대 상기한 실시형태에서는, 오목부(52)의 형성에 있어서 에천트의 분압 변경이 1회 이루어지지만, 개시하는 기술은 이것에 한정되지 않는다. 다른 형태로서, 예컨대 도 9에 도시하는 것과 같이, 오목부(52)의 형성에 있어서 에천트의 분압 변경은 여러 번 이루어지더라도 좋다.
도 9는 기판 처리 방법의 다른 예를 도시하는 흐름도이다. 도 9에 예시된 각 처리는 제어부(2)가 장치 본체(1)의 각 부를 제어함으로써 실현된다.
우선, 제어부(2)는, 도시하지 않는 반송 장치를 제어하여, 예컨대 도 2에 도시된 기판(W)을 플라즈마 처리 챔버(10) 내에 반입한다(S20). 그리고, 배기 시스템(40)에 의해서 플라즈마 처리 챔버(10) 내의 가스가 배기되어, 플라즈마 처리 챔버(10) 내에 HF 가스를 포함하는 처리 가스의 공급이 시작된다(S21). 그리고, 에칭이 시작된다(S22). 단계 S20∼S22의 처리는 도 7에 예시된 단계 S10∼S12의 처리와 마찬가지다.
이어서, 제어부(2)는, 오목부(52)의 어스펙트비가 미리 정해진 제1 양만큼 증가했는지 여부를 판정한다(S23). 제어부(2)는, 예컨대 에칭의 계속 시간이 오목부(52)의 어스펙트비가 제1 양만큼 증가하기까지의 에칭의 계속 시간에 달했는지 여부를 판정함으로써, 오목부(52)의 어스펙트비가 제1 양만큼 증가했는지 여부를 판정한다. 오목부(52)의 어스펙트비가 제1 양만큼 증가하기까지의 에칭의 계속 시간은 미리 실험에 의해 측정된다. 오목부(52)의 어스펙트비가 제1 양만큼 증가하지 않은 경우(S23: No), 다시 단계 S23에 기재된 처리가 실행된다.
한편, 오목부(52)의 어스펙트비가 제1 양만큼 증가한 경우(S23: Yes), 제어부(2)는, 에천트의 분압을 압력 P1에서 압력 P1보다 낮은 압력 P2까지 내리도록 장치 본체(1)의 각 부를 제어한다(S24). 압력 P1은 제1 압력의 일례이고, 압력 P2는 제2 압력의 일례이다. 단계 S24의 처리는 도 7에 예시된 단계 S14의 처리와 마찬가지다.
이어서, 제어부(2)는, 오목부(52)의 어스펙트비가 미리 정해진 제2 양만큼 증가했는지 여부를 판정한다(S25). 제어부(2)는, 예컨대 에칭의 계속 시간이 오목부(52)의 어스펙트비가 제2 양만큼 증가하기까지의 에칭의 계속 시간에 달했는지 여부를 판정함으로써, 오목부(52)의 어스펙트비가 제2 양만큼 증가했는지 여부를 판정한다. 오목부(52)의 어스펙트비가 제2 양만큼 증가하기까지의 에칭의 계속 시간은 미리 실험에 의해 측정된다. 오목부(52)의 어스펙트비가 제2 양만큼 증가하지 않은 경우(S25: No), 다시 단계 S25에 기재된 처리가 실행된다.
한편, 오목부(52)의 어스펙트비가 제2 양만큼 증가한 경우(S25: Yes), 제어부(2)는 오목부(52)의 어스펙트비가 미리 정해진 제3 어스펙트비에 달했는지 여부를 판정한다(S26). 오목부(52)의 어스펙트비가 제3 어스펙트비에 달하지 않은 경우(S26: No), 제어부(2)는 에천트의 분압을 압력 P2에서 압력 P1까지 올리도록 장치 본체(1)의 각 부를 제어한다(S27). 그리고, 다시 단계 S23에 기재된 처리가 실행된다. 한편, 오목부(52)의 어스펙트비가 제3 어스펙트비에 달한 경우(S26: Yes), 도 9에 예시된 기판 처리 방법이 종료된다.
이로써, 오목부(52)의 에칭이 계속되고 있는 동안, 에천트의 분압이, 예컨대 도 10에 도시하는 것과 같이 압력 P1과 압력 P2에서 교대로 반복되도록 제어된다. 여기서, 에천트의 분압이 압력 P1로 제어된 경우, 높은 에칭 레이트로 에칭이 이루어져 오목부(52)가 형성된다. 그리고, 에천트의 분압이 압력 P1보다 낮은 압력 P2로 제어된 경우, 오목부(52)의 바닥부 단면이 직사각형으로 형성된다. 이와 같이, 에천트의 분압이 압력 P1 또는 압력 P2가 되도록 교대로 반복하여 제어됨으로써, 신속한 에칭의 진행과 오목부(52)의 바닥부 형상을 조정하는 처리가 교대로 실행된다. 이로써, 에칭의 스루풋 향상과 에칭에 의해 형성되는 오목부(52)의 형상 이상의 억제를 양립할 수 있다.
또한, 에천트의 분압을 압력 P1과 압력 P2로 교대로 반복하는 처리에 있어서, 높은 쪽의 압력 P1은, 예컨대 도 11에 도시하는 것과 같이, 오목부(52)의 어스펙트비 증가에 동반하여 서서히 작아지도록 제어되어도 좋다. 도 11의 예에 있어서, 압력 P1은, 오목부(52)의 어스펙트비 증가에 동반하여 압력 P11, 압력 P12, 압력 P13, ···과 같이 서서히 작아지도록 제어되고 있다. 이로써, 어스펙트비의 증가에 동반하여 반응 부생성물(53)이 휘발하기 어렵게 되는 것을 방지할 수 있다. 또한, 낮은 쪽의 압력 P2는 오목부(52)의 어스펙트비 증가와는 무관계하게 일정하여도 좋다.
또한, 에천트의 분압은 유량 제어기(22)의 출력치에 기초하여 제어되어도 좋다. 또한, 에천트의 분압은 플라즈마의 발광 상태를 모니터링함으로써 추정된 추정치에 기초하여 제어되어도 좋다. 플라즈마의 발광 상태는, 예컨대 플라즈마 처리 챔버(10)에 부착된 발광 분광(Optical Emission Spectroscopy: OES) 센서 등의 광센서(114) 등에 의해서 측정할 수 있다. 이로써, 플라즈마 내에서 해리 또는 생성된 에천트를 포함하여 에천트의 분압을 측정할 수 있기 때문에, 에천트의 분압을 정밀하게 제어할 수 있다.
또한, 상기한 실시형태에서는 에천트로서 HF 가스가 이용되었지만, 개시하는 기술은 이것에 한정되지 않는다. 다른 형태로서, 플라즈마 처리 중에 플라즈마 처리 챔버(10) 내에서 HF종을 생성할 수 있는 가스를 이용하여도 좋다. HF종은 불화수소의 가스, 라디칼 및 이온의 적어도 어느 하나를 포함한다. 일례로서 HF종을 생성할 수 있는 가스는 하이드로플루오로카본 가스라도 좋다. 또한, HF종을 생성할 수 있는 가스는 수소원 및 불소원을 포함하는 혼합 가스라도 좋다. 수소원은 예컨대 H2, NH3, H2O, H2O2 또는 하이드로카본 등이라도 좋다. 불소원은 NF3, SF6, WF6, XeF2, 플루오로카본 또는 하이드로플루오로카본 등이라도 좋다. HF종을 생성할 수 있는 가스를 이용하는 경우, 오목부(52)의 어스펙트비 증가에 동반하여 HF종의 분압을 내리도록 제어가 이루어진다. HF종의 분압은, 예컨대 상술한 OES에 의해 측정한 플라즈마의 발광 상태에 기초하여 산출되어도 좋다. 이로써, 에칭의 스루풋 향상과 에칭에 의해 형성되는 오목부(52)의 형상 이상의 억제를 양립할 수 있다.
또 다른 형태로서, 처리 가스로부터 생성된 플라즈마에 포함되는 활성종 등이 에천트로서 이용되어도 좋다. 이러한 처리 가스로서는, 불소 원자를 포함하는 가스, 탄소 및 불소를 포함하는 가스, 그리고 탄소, 수소 및 불소 원자를 포함하는 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 에천트를 포함하는 처리 가스가 이용된다. 불소 원자를 포함하는 가스라면, 불소 원자를 포함하는 가스로부터 생성된 플라즈마에 포함되는 F 라디칼이 에천트로서 기여한다. 탄소 및 불소를 포함하는 가스라면, 탄소 및 불소를 포함하는 가스로부터 생성된 플라즈마에 포함되는 CF 라디칼이 에천트로서 기여한다. 탄소, 수소 및 불소 원자를 포함하는 가스라면, 탄소, 수소 및 불소 원자를 포함하는 가스로부터 생성된 플라즈마에 포함되는 CF 라디칼이 에천트로서 기여한다. 그리고, 어스펙트비의 증가에 동반하여, 에천트의 분압을 내리도록 제어가 이루어진다. 이로써, 에칭의 스루풋 향상과 에칭에 의해 형성되는 오목부(52)의 형상 이상의 억제를 양립할 수 있다. 또한, 반응성 가스의 첨가에 의해 에천트의 분압을 내리는 경우, 이러한 가스에 대해서는, 반응성 가스로서 예컨대 수소 함유 가스나 산소 함유 가스를 첨가하는 것을 생각할 수 있다.
또한, 상술한 실시형태에서는 에칭에 의해 형성되는 오목부(52)의 어스펙트비 증가에 동반하여 HF 가스(에천트)의 분압을 내리도록 제어했지만, 개시하는 기술은 이것에 한하지 않는다. 다른 형태로서, 오목부(52)의 어스펙트비 증가에 따라서 에천트의 분압을 임의의 값으로 조정하여도 좋다. 즉, 오목부(52)의 어스펙트비 증가에 따라서 에천트의 분압을 내리도록 제어하여도 좋고, 에천트의 분압을 올리도록 제어하여도 좋다. 오목부(52)의 어스펙트비 증가에 동반하여 HF 가스(에천트)의 분압을 내리도록 제어한 경우의 효과에 관해서는 상술한 것과 같다. 한편, 오목부(52)의 어스펙트비 증가에 동반하여 HF 가스(에천트)의 분압을 올리도록 제어한 경우에는, 오목부의 형상을 조정하고 나서 에칭을 진행시킬 수 있다. 이 때문에, 오목부의 형상을 유지하면서 에칭 레이트를 향상시킬 수 있다.
또한, 상기한 실시형태에서는 플라즈마원의 일례로서 용량 결합형 플라즈마(CCP)를 이용하여 처리를 행하는 플라즈마 처리 장치(100)를 설명했지만, 플라즈마원은 이것에 한하지 않는다. 용량 결합형 플라즈마 이외의 플라즈마원으로서는 예컨대 유도 결합 플라즈마(ICP), 마이크로파 여기 표면파 플라즈마(SWP), 전자 사이클로트론 공명 플라즈마(ECP) 및 헬리콘파 여기 플라즈마(HWP) 등을 들 수 있다.
또한, 이번에 개시된 실시형태는 모든 점에서 예시이며 제한적인 것이 아니라고 생각되어야 한다. 실제로 상기한 실시형태는 다양한 형태로 구현될 수 있다. 또한, 상기한 실시형태는 첨부한 청구범위 및 그 취지를 일탈하는 일 없이 다양한 형태로 생략, 치환, 변경되어도 좋다.

Claims (23)

  1. 기판 처리 방법으로서,
    a1) 챔버 내에 실리콘 함유 막을 포함하는 기판을 제공하는 공정과,
    a2) 상기 챔버 내에 HF 가스를 포함하는 처리 가스를 공급하는 공정과,
    a3) 상기 처리 가스로부터 생성된 플라즈마에 의해 상기 실리콘 함유 막을 에칭하여, 상기 실리콘 함유 막에 오목부를 형성하는 공정과,
    a4) 상기 오목부의 어스펙트비 증가에 동반하여 상기 HF 가스의 분압을 내리도록 제어하는 공정
    을 포함하는, 기판 처리 방법.
  2. 제1항에 있어서,
    상기 공정 a4)에서는, 상기 챔버 내의 압력을 내림으로써 상기 HF 가스의 분압을 내리는 것인, 기판 처리 방법.
  3. 제1항에 있어서,
    상기 공정 a4)에서는, 상기 챔버 내에 희석 가스를 첨가함으로써 상기 HF 가스의 분압을 내리는 것인, 기판 처리 방법.
  4. 제1항에 있어서,
    상기 공정 a4)에서는, 상기 HF 가스의 분자에 포함되는 원소와 반응하여 상기 HF 가스의 분자를 스캐벤지(scavenge)하는 반응성 가스를 상기 챔버 내에 공급함으로써, 상기 챔버 내의 상기 HF 가스의 분압을 내리는 것인, 기판 처리 방법.
  5. 제4항에 있어서,
    상기 반응성 가스는 염소 함유 가스인 것인, 기판 처리 방법.
  6. 제5항에 있어서,
    상기 염소 함유 가스는 Cl2 가스, HCl 가스, CHCl3 가스, CH2Cl2 가스, CH3Cl 가스, CCl4 가스, BCl3 가스, SiCl4 가스, SiH2Cl2 가스 및 Si2Cl6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  7. 제1항에 있어서,
    상기 공정 a4)에서는, 상기 챔버 내에 공급하는 HF 가스의 유량을 감소시킴으로써, 상기 챔버 내의 상기 HF 가스의 분압을 내리는 것인, 기판 처리 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 공정 a3)에서는, 상기 HF 가스의 분압이 제1 분압으로 제어되고,
    상기 공정 a4)에서는, 상기 HF 가스의 분압이 상기 제1 분압보다 낮은 제2 분압으로 제어되고,
    상기 공정 a3)과 상기 공정 a4)는 교대로 반복하여 실행되는 것인, 기판 처리 방법.
  9. 제8항에 있어서,
    상기 공정 a4)와 교대로 반복하여 실행되는 상기 공정 a3)에서는,
    상기 오목부의 어스펙트비 증가에 동반하여, 상기 제1 분압이 서서히 작아지도록 제어되는 것인, 기판 처리 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서,
    상기 처리 가스는 탄소 함유 가스를 포함하는 것인, 기판 처리 방법.
  11. 제10항에 있어서,
    상기 탄소 함유 가스는 플루오로카본 가스 및 하이드로플루오로카본 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  12. 제11항에 있어서,
    상기 플루오로카본 가스는 CF4 가스, C2F2 가스, C2F4 가스, C3F8 가스, C4F6 가스, C4F8 가스 및 C5F8 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  13. 제11항에 있어서,
    상기 하이드로플루오로카본 가스는 CHF3 가스, CH2F2 가스, CH3F 가스, C2HF5 가스, C2H2F4 가스, C2H3F3 가스, C2H4F2 가스, C3HF7 가스, C3H2F2 가스, C3H2F6 가스, C3H2F4 가스, C3H3F5 가스, C4H5F5 가스, C4H2F6 가스, C4H2F8 가스, C5H2F6 가스, C5H2F10 가스 및 C5H3F7 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 처리 가스는 인 함유 가스, 황 함유 가스 및 붕소 함유 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스를 포함하는 것인, 기판 처리 방법.
  15. 제14항에 있어서,
    상기 인 함유 가스는 PF3 가스, PF5 가스, POF3 가스, HPF6 가스, PCl3 가스, PCl5 가스, POCl3 가스, PBr3 가스, PBr5 가스, POBr3 가스, PI3 가스, P4O10 가스, P4O8 가스, P4O6 가스, PH3 가스, Ca3P2 가스, H3PO4 가스 및 Na3PO4 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  16. 제14항에 있어서,
    상기 황 함유 가스는 SF6 가스, SO2 가스 및 COS 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  17. 제14항에 있어서,
    상기 붕소 함유 가스는 BCl3 가스, BF3 가스, BBr3 가스 및 B2H6 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 것인, 기판 처리 방법.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서,
    상기 실리콘 함유 막은, 실리콘 산화막과, 실리콘 질화막 및 폴리실리콘막으로 이루어지는 군에서 선택되는 적어도 1종류의 막을 포함하는 것인, 기판 처리 방법.
  19. 제1항 내지 제18항 중 어느 한 항에 있어서,
    상기 실리콘 함유 막은, 실리콘 산화막, 실리콘 산화막과 실리콘 질화막의 다층막, 또는 실리콘 산화막과 폴리실리콘화막의 다층막인 것인, 기판 처리 방법.
  20. 제1항 내지 제19항 중 어느 한 항에 있어서,
    상기 공정 a4)에서는, 플라즈마의 발광 상태를 모니터링함으로써 상기 HF 가스의 분압을 추정하고, 상기 추정한 HF 가스의 분압을 내리도록 제어하는 것인, 기판 처리 방법.
  21. 기판 처리 방법으로서,
    b1) 챔버 내에 실리콘 함유 막을 포함하는 기판을 제공하는 공정과,
    b2) 상기 챔버 내에 HF종을 생성할 수 있는 가스를 포함하는 처리 가스를 공급하는 공정과,
    b3) 상기 처리 가스로부터 생성된 플라즈마에 의해 상기 실리콘 함유 막을 에칭하여, 상기 실리콘 함유 막에 오목부를 형성하는 공정과,
    b4) 상기 오목부의 어스펙트비 증가에 동반하여 상기 HF종의 분압을 내리도록 제어하는 공정
    을 포함하는, 기판 처리 방법.
  22. 기판 처리 방법으로서,
    c1) 챔버 내에 실리콘 함유 막을 포함하는 기판을 제공하는 공정과,
    c2) 상기 챔버 내에, 불소 원자를 포함하는 가스, 탄소 및 불소를 포함하는 가스, 그리고, 탄소, 수소 및 불소 원자를 포함하는 가스로 이루어지는 군에서 선택되는 적어도 1종류의 가스인 에천트를 포함하는 처리 가스를 공급하는 공정과,
    c3) 상기 처리 가스로부터 생성된 플라즈마에 의해 상기 실리콘 함유 막을 에칭하여, 상기 실리콘 함유 막에 오목부를 형성하는 공정과,
    c4) 상기 오목부의 어스펙트비 증가에 동반하여 상기 에천트의 분압을 변경하는 공정
    을 포함하는, 기판 처리 방법.
  23. 기판 처리 장치로서,
    가스 공급구 및 가스 배출구를 갖는 챔버와,
    상기 챔버 내에 마련된 기판 지지부와,
    상기 챔버 내에 공급된 처리 가스로부터 플라즈마를 생성하는 플라즈마 생성부와,
    제어부
    를 구비하고,
    상기 제어부는,
    d1) 상기 기판 지지부에 실리콘 함유 막을 포함하는 기판을 배치하는 공정과,
    d2) 상기 챔버 내에 HF 가스를 포함하는 처리 가스를 공급하는 공정과,
    d3) 상기 처리 가스로부터 플라즈마를 생성하고, 생성된 상기 플라즈마에 의해 상기 실리콘 함유 막을 에칭하여, 상기 실리콘 함유 막에 오목부를 형성하는 공정과,
    d4) 상기 오목부의 어스펙트비 증가에 동반하여 상기 HF 가스의 분압을 내리도록 제어하는 공정
    을 포함하는 처리를 실행하도록 구성되는 것인, 기판 처리 장치.
KR1020220050578A 2021-05-07 2022-04-25 기판 처리 방법 및 기판 처리 장치 KR20220152136A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-078904 2021-05-07
JP2021078904A JP7308876B2 (ja) 2021-05-07 2021-05-07 基板処理方法および基板処理装置

Publications (1)

Publication Number Publication Date
KR20220152136A true KR20220152136A (ko) 2022-11-15

Family

ID=83855210

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220050578A KR20220152136A (ko) 2021-05-07 2022-04-25 기판 처리 방법 및 기판 처리 장치

Country Status (5)

Country Link
US (1) US20220367202A1 (ko)
JP (1) JP7308876B2 (ko)
KR (1) KR20220152136A (ko)
CN (1) CN115312382A (ko)
TW (1) TW202247284A (ko)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016039310A (ja) 2014-08-08 2016-03-22 東京エレクトロン株式会社 多層膜をエッチングする方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2926807B2 (ja) * 1989-12-14 1999-07-28 富士通株式会社 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
JPH0817796A (ja) * 1994-06-28 1996-01-19 Hitachi Ltd ドライエッチング装置とその方法および半導体装置
JP3297291B2 (ja) * 1995-03-10 2002-07-02 株式会社東芝 半導体装置の製造方法
JPH08264495A (ja) * 1995-03-27 1996-10-11 Fujitsu Ltd 半導体装置の製造方法
JPH09321025A (ja) * 1996-05-30 1997-12-12 Fujitsu Ltd 半導体装置の製造方法
JP2950785B2 (ja) * 1996-12-09 1999-09-20 セントラル硝子株式会社 酸化膜のドライエッチング方法
JP2016188882A (ja) 2015-03-29 2016-11-04 Hoya株式会社 掘込レベンソン型位相シフトマスクの製造方法及び半導体装置の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016039310A (ja) 2014-08-08 2016-03-22 東京エレクトロン株式会社 多層膜をエッチングする方法

Also Published As

Publication number Publication date
TW202247284A (zh) 2022-12-01
CN115312382A (zh) 2022-11-08
US20220367202A1 (en) 2022-11-17
JP7308876B2 (ja) 2023-07-14
JP2022172744A (ja) 2022-11-17

Similar Documents

Publication Publication Date Title
US11670486B2 (en) Pulsed plasma chamber in dual chamber configuration
US10347500B1 (en) Device fabrication via pulsed plasma
US11456180B2 (en) Etching method
US20150140828A1 (en) Etching method and plasma processing apparatus
US20230230844A1 (en) Etching method and plasma processing system
KR20160134537A (ko) 에칭 방법
KR20220152136A (ko) 기판 처리 방법 및 기판 처리 장치
JP7336623B2 (ja) エッチング方法
JP7348672B2 (ja) プラズマ処理方法及びプラズマ処理システム
WO2024062995A1 (ja) 基板処理方法及び基板処理装置
US20240038501A1 (en) Etching method and plasma processing apparatus
US20230377851A1 (en) Etching method and plasma processing apparatus
WO2024117212A1 (ja) エッチング方法及びプラズマ処理装置
US20240203698A1 (en) Etching method and plasma processing apparatus
US20220238348A1 (en) Substrate processing method and substrate processing apparatus
JP2023171269A (ja) エッチング方法及びプラズマ処理システム
JP2023151985A (ja) エッチング方法及びプラズマ処理システム
CN116705601A (zh) 等离子体处理方法和等离子体处理装置
KR20230165190A (ko) 에칭 방법 및 플라즈마 처리 시스템
KR20230161883A (ko) 에칭 방법 및 플라즈마 처리 시스템
JP2023143889A (ja) プラズマ処理方法及びプラズマ処理システム
JP2022077710A (ja) エッチング方法
CN112786441A (zh) 蚀刻方法及等离子体处理装置
TW202105507A (zh) 用於高深寬比蝕刻的電漿蝕刻工具
CN116013778A (zh) 等离子体处理方法和等离子体处理系统

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal