KR20220019078A - 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들 - Google Patents

측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들 Download PDF

Info

Publication number
KR20220019078A
KR20220019078A KR1020227003689A KR20227003689A KR20220019078A KR 20220019078 A KR20220019078 A KR 20220019078A KR 1020227003689 A KR1020227003689 A KR 1020227003689A KR 20227003689 A KR20227003689 A KR 20227003689A KR 20220019078 A KR20220019078 A KR 20220019078A
Authority
KR
South Korea
Prior art keywords
side storage
panel
chamber
storage container
opening
Prior art date
Application number
KR1020227003689A
Other languages
English (en)
Other versions
KR102491127B1 (ko
Inventor
데벤드라 찬나파 홀레아나바르
산데시 도다메인 라마파
딘 씨. 흐루제크
마이클 알. 라이스
제프리 에이. 브로딘
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237001788A priority Critical patent/KR102599502B1/ko
Publication of KR20220019078A publication Critical patent/KR20220019078A/ko
Application granted granted Critical
Publication of KR102491127B1 publication Critical patent/KR102491127B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manufacturing Of Printed Wiring (AREA)

Abstract

측면 저장 포드들을 포함하는 전자 디바이스 처리 시스템들이 설명된다. 하나의 전자 디바이스 처리 시스템은, 측면 저장 용기를 수용하도록 구성된 제1 챔버; 패널 개구를 갖는 패널 ― 패널은 측면 저장 용기와 장비 전단부 모듈 사이에 결합되도록 구성됨 ―; 제1 챔버에 수용된 측면 저장 용기; 및 수용된 측면 저장 용기에 결합되도록 구성되며 제1 챔버의 외부로 연장되는 배기 도관을 갖는 측면 저장 포드를 갖는다.

Description

측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들 {SIDE STORAGE PODS, EQUIPMENT FRONT END MODULES, AND METHODS FOR PROCESSING SUBSTRATES}
[001] 본 출원은 "SIDE STORAGE PODS, EQUIPMENT FRONT END MODULES, AND METHODS FOR PROCESSING SUBSTRATES"라는 명칭으로 2017년 6월 23일자 출원된 미국 정규출원 제15/632,074호(대리인 관리 번호 제25135/USA호)에 대한 우선권을 주장하며, 이 출원은 이로써 모든 목적들을 위해 인용에 의해 본 명세서에 포함된다.
[002] 본 개시내용은 전자 디바이스 제조에 관한 것으로, 보다 구체적으로는 측면 저장 포드(side storage pod)들 및 시스템들 그리고 기판들을 처리하기 위한 방법들에 관한 것이다.
[003] 전자 디바이스 제조 시스템들은 이송 챔버 및 기판들을 이송 챔버로 전달하도록 구성된 하나 이상의 로드락(load lock) 챔버들을 갖는 메인프레임 하우징 주위에 배치된 다수의 프로세스 챔버들을 포함할 수 있다. 이러한 시스템들은 예를 들어, 이송 챔버에 수용될 수 있는 이송 로봇을 이용할 수 있다. 이송 로봇은 선택적으로 호환되는 관절형 로봇 암(SCARA: selectively compliant articulated robot arm) 로봇 등일 수 있고, 다양한 챔버들과 하나 이상의 로드락 챔버들 사이에서 기판들을 이송하도록 적응될 수 있다. 예를 들어, 이송 로봇은 프로세스 챔버에서 프로세스 챔버로, 로드락 챔버에서 프로세스 챔버로, 그리고 그 반대로 기판들을 이송할 수 있다.
[004] 반도체 컴포넌트 제조시 기판들의 처리는 다수의 툴들에서 실행될 수 있는데, 여기서 기판들이 기판 캐리어들(예컨대, 전단부 통합 포드들 또는 FOUP들) 내의 툴들 사이를 이동한다. 처리 중에 기판들을 특정 환경 조건들에 노출시키는 것은 기판을 손상시킬 수 있다. 예를 들어, 기판들의 처리 도중 습기에 대한 노출은 기판들 상에 산(acid)들을 형성할 수 있으며, 이는 기판들 상에 제작된 컴포넌트들을 분해 또는 파괴할 수 있다.
[005] 이에 따라, 처리 중에 기판들의 환경 조건들을 제어하기 위한 개선된 시스템들, 장치 및 방법들이 요구된다.
[006] 일 양상에서, 측면 저장 포드가 제공된다. 측면 저장 포드는, 측면 저장 용기를 수용하도록 구성된 제1 챔버; 패널 제1 측면, 패널 제2 측면, 및 패널 제1 측면과 패널 제2 측면 사이에서 연장되는 패널 개구를 갖는 패널 ― 패널 제1 측면은 제1 챔버에 결합되도록 구성되고, 패널 개구는 제1 챔버에 인접하며, 패널 제2 측면은 장비 전단부 모듈에 결합되도록 구성됨 ―; 제1 챔버 내에 수용된 측면 저장 용기 ― 측면 저장 용기는 기판을 지지하도록 각각 구성된 복수의 수직으로 이격된 기판 홀더들을 가짐 ―; 및 수용된 측면 저장 용기에 결합되도록 구성되며 제1 챔버의 외부로 연장되는 배기 도관을 포함한다.
[007] 다른 양상에서, 전자 디바이스 처리 시스템이 제공된다. 전자 디바이스 처리 시스템은, 하나 이상의 계면 개구들을 갖는 장비 전단부 모듈 챔버를 포함하는 장비 전단부 모듈; 하나 이상의 챔버들을 갖는 측면 저장 포드를 포함하며, 하나 이상의 챔버들 각각은 측면 저장 용기를 수용하도록 구성되고 하나 이상의 챔버들 각각은: 하나 이상의 계면 개구들 중 한 계면 개구에 인접하게 위치된 패널 개구; 계면 개구와 패널 개구를 통한 가스 유동이 가능해지는 열린 상태 및 계면 개구와 패널 개구를 통한 가스 유동이 불가능해지는 닫힌 상태를 갖는 내부 도어; 및 하나 이상의 챔버들에 수용된 측면 저장 용기에 결합되도록 구성된 배기 도관을 포함한다.
[008] 또 다른 양상에서, 측면 저장 용기가 제공된다. 측면 저장 용기는, 하나 이상의 기판들을 수용하도록 구성된 내부; 포드 개구 ― 상기 포드 개구를 통해 상기 하나 이상의 기판들이 상기 내부에 수용 가능함 ―; 내부에 결합된 배기 덕트; 및 배기 덕트에 결합된 배기 포트를 포함하며, 포드 개구는 측면 저장 용기에 결합된 패널에서 패널 개구와 정렬되도록 구성되고, 내부 도어가 패널 개구에 수용 가능하다.
[009] 수많은 다른 양상들이 본 개시내용의 이러한 그리고 다른 실시예들에 따라 제공된다. 본 개시내용의 실시예들의 다른 특징들 및 양상들은 다음의 상세한 설명, 첨부된 청구항들 및 첨부 도면들로부터 보다 완전히 명백해질 것이다.
[0010] 아래에서 설명되는 도면들은 예시 목적들이며, 반드시 실척대로 그려진 것은 아니다. 도면들은 어떤 식으로도 본 개시내용의 범위를 한정하는 것으로 의도되는 것은 아니다.
[0011] 도 1은 실시예들에 따른 측면 저장 포드를 포함하는 전자 디바이스 처리 시스템의 개략적인 평면도를 예시한다.
[0012] 도 2a는 실시예들에 따른 장비 전단부 모듈(이하 "EFEM(equipment front end module)")에 결합된 측면 저장 포드를 포함하는 EFEM의 정면 입면도를 예시한다.
[0013] 도 2b는 실시예들에 따른 EFEM에 결합된 측면 저장 포드의 등각도를 예시한다.
[0014] 도 3a는 실시예들에 따라 측면 저장 포드의 측벽이 제거된, EFEM에 결합된 측면 저장 포드의 측면도를 예시한다.
[0015] 도 3b는 실시예들에 따라 측면 저장 포드의 측벽, 최상부벽 및 도어들이 제거된, EFEM에 결합된 측면 저장 포드의 부분 등각도를 예시한다.
[0016] 도 3c는 실시예들에 따른 측면 저장 포드의 챔버 내에 측면 저장 용기를 유지하도록 구성된 고정 메커니즘의 부분 등각도를 예시한다.
[0017] 도 4a는 실시예들에 따른 EFEM과 측면 저장 포드 사이의 계면의 측단면도를 예시한다.
[0018] 도 4b는 실시예들에 따른 도어와 챔버 사이의 밀봉부의 측단면도를 예시한다.
[0019] 도 5a는 실시예들에 따른 측면 저장 포드의 챔버 내에 측면 저장 용기를 유지하는 플랫폼의 상부 평면도를 예시한다.
[0020] 도 5b는 실시예들에 따른 도 5a의 플랫폼의 측면도를 예시한다.
[0021] 도 6은 실시예들에 따른 배기 도관들 및 배기 제어 디바이스들의 개략도를 예시한다.
[0022] 도 7은 실시예들에 따라 상부 내부 도어가 열린 상태이고 하부 내부 도어는 닫힌 상태인, EFEM에 결합된 측면 저장 포드의 등각도를 예시한다.
[0023] 이제 본 개시내용의 예시적인 실시예들이 상세히 언급될 것이며, 이러한 실시예들은 첨부 도면들에 예시된다. 가능하면 어디든, 여러 관점들 전반에서 동일한 또는 비슷한 부분들을 나타내기 위해 도면들 전반에서 동일한 참조 번호들이 사용될 것이다. 구체적으로 달리 언급되지 않는 한, 본 명세서에서 설명되는 다양한 실시예들의 특징들이 서로 조합될 수 있다.
[0024] 전자 디바이스 제조는 매우 정확한 처리뿐만 아니라, 다양한 위치들 사이에서의 기판들의 신속한 이송을 수반할 수 있다. 특히, 기존 시스템들은 기판 캐리어들과 로드락들 사이에서 그리고 다음에는 처리 챔버들로 그리고 그 반대로 기판들을 이송할 수 있다. 그러나 처리를 기다리는 동안 또는 처리 후에 기판들이 유휴 상태로 있을 수 있는 기간들이 처리 중에 있을 수 있다. 이러한 유휴 기간들 동안, 기판들은 기판들에 악영향을 줄 수 있는 비교적 높은 습도, 높은 산소(O2) 레벨들 및/또는 다른 환경 조건들에 노출될 수 있다.
[0025] 본 개시내용의 하나 이상의 실시예들에 따르면, 개선된 기판 처리를 제공하도록 적응된 전자 디바이스 처리 시스템들 및 방법들이 제공된다. 본 명세서에서 설명되는 시스템들 및 방법들은 기판들의 환경 노출, 그리고 특히, EFEM에 결합된 측면 저장 포드 내의 조건들을 제어함으로써 기판들의 처리시 효율 및/또는 처리 개선들을 제공할 수 있다. 하나 이상의 측면 저장 용기들은 측면 저장 포드 내에 수용 가능하도록 구성되며, 처리 기간들 동안, 이를테면 기판 처리 이전 및/또는 이후 유휴 기간들 동안 기판들을 수용하는 기판 홀더들(예컨대, 선반들)을 포함한다. 가스는 EFEM으로부터 측면 저장 용기로 흐를 수 있는데, 여기서 가스는 기판들을 지나 흐른다. 이에 따라, 기판들은 특정 환경 조건을 가질 수 있는, 이를테면 비교적 건조한 그리고/또는 비교적 낮은 O2 레벨들을 갖는 EFEM 내에서 가스에 노출된다. 가스는 기판들을 지나간 후 측면 저장 용기로부터 배기된다.
[0026] 측면 저장 포드들, 측면 저장 포드를 포함하는 시스템들, 및 측면 저장 포드에서 기판들을 처리하는 방법들의 예시적인 실시예들의 추가 세부사항들이 본 명세서에서 도 1 - 도 7을 참조하여 설명된다.
[0027] 도 1은 본 개시내용의 하나 이상의 실시예들에 따른 전자 디바이스 처리 시스템(100)의 예시적인 실시예의 개략도를 예시한다. 전자 디바이스 처리 시스템(100)은 이송 챔버(102)를 한정하는 하우징 벽들을 갖는 메인프레임 하우징(101)을 포함할 수 있다. (점선 원으로 도시된) 이송 로봇(103)이 이송 챔버(102) 내에 적어도 부분적으로 수용될 수 있다. 이송 로봇(103)은 이송 로봇(103)의 (도시되지 않은) 암들의 동작을 통해 목적지들로 그리고 목적지들로부터 기판들을 배치하고 추출하도록 구성될 수 있다. 본 명세서에서 사용되는 기판들은 전자 디바이스들 또는 회로 컴포넌트들, 이를테면 반도체 웨이퍼들, 실리콘 함유 웨이퍼들, 패터닝된 웨이퍼들, 유리판들 등을 제작하는 데 사용되는 물품들을 의미할 수 있다.
[0028] 이송 로봇(103)의 다양한 암 컴포넌트들의 움직임은 제어기(106)로부터 지시되는 대로 이송 로봇(103)의 복수의 구동 모터들을 포함하는 (도시되지 않은) 구동 어셈블리에 대한 적절한 커맨드들에 의해 제어될 수 있다. 제어기(106)로부터의 신호들은 이송 로봇(103)의 다양한 컴포넌트들의 움직임을 야기할 수 있다. 포지션 인코더들 등과 같은 다양한 센서들에 의해 컴포넌트들 중 하나 이상에 적절한 피드백 메커니즘들이 제공될 수 있다.
[0029] 이송 로봇(103)은 이송 챔버(102)에서 대략 중앙에 위치될 수 있는 숄더 축을 중심으로 회전 가능한 암들을 포함할 수 있다. 이송 로봇(103)은 이송 챔버(102)의 하부 부분을 형성하는 하우징 벽(예컨대, 바닥)에 부착되도록 구성되는 베이스를 포함할 수 있다. 그러나 일부 실시예들에서 이송 로봇(103)은 천장에 부착될 수 있다. 이송 로봇(103)은 툴이 (도시된 바와 같이) 트윈형 프로세스 챔버를 포함할 때 트윈 챔버들(예컨대, 나란한 챔버들)을 서비스하도록 구성된 이중 타입 로봇일 수 있다. 이송 로봇들뿐만 아니라 다른 타입들의 프로세스 챔버 배향들도 사용될 수 있다.
[0030] 도시된 실시예에서, 이송 챔버(102)는 형상이 정사각형 또는 약간 직사각형일 수 있고, 제1 패싯(facet)(102A), 제1 패싯(102A)에 대향하는 제2 패싯(102B), 제3 패싯(102C), 및 제3 패싯(102C)에 대향하는 제4 패싯(102D)을 포함할 수 있다. 이송 로봇(103)은 바람직하게는 듀얼 기판들을 챔버 세트들 내로 동시에 이송하고 그리고/또는 리트랙팅(retract)하는 데 능숙할 수 있다. 제1 패싯(102A), 제2 패싯(102B), 제3 패싯(102C) 및 제4 패싯(102D)은 평면일 수 있고 챔버 세트들 내로의 입구들은 각각의 패싯들을 따라 놓일 수 있다. 그러나 메인프레임 하우징(101)의 다른 적절한 형상들 및 다른 적절한 수들의 패싯들 및 처리 챔버들이 가능하다.
[0031] 이송 로봇(103)에 대한 목적지들은 제1 패싯(102A)에 결합된 제1 프로세스 챔버 세트(108A, 108B)일 수 있으며, 이 제1 프로세스 챔버 세트는 그에 전달된 기판들에 대해 프로세스를 실행하도록 구성되고 동작 가능할 수 있다. 프로세스는 플라즈마 기상 증착(PVD: plasma vapor deposition) 또는 화학 기상 증착(CVD: chemical vapor deposition), 에칭, 어닐링, 사전 세정, 금속 또는 금속 산화물 제거 등과 같은 임의의 적합한 프로세스일 수 있다. 다른 프로세스들이 그 안의 기판들에 대해 실행될 수 있다.
[0032] 이송 로봇(103)에 대한 목적지들은 또한, 제1 프로세스 챔버 세트(108A, 108B)로부터 대향될 수 있는 제2 프로세스 챔버 세트(108C, 108D)일 수 있다. 제2 프로세스 챔버 세트(108C, 108D)는 제2 패싯(102B)에 결합될 수 있고, 앞서 언급한 프로세스들 중 임의의 프로세스와 같은 임의의 적절한 프로세스를 기판들에 대해 수행하도록 구성될 수 있다. 마찬가지로, 이송 로봇(103)에 대한 목적지들은 또한 제3 패싯(102C)에 결합된 로드락 장치(112)로부터 대향될 수 있는 제3 프로세스 챔버 세트(108E, 108F)일 수 있다. 제3 프로세스 챔버 세트(108E, 108F)는 앞서 언급한 프로세스들 중 임의의 프로세스와 같은 임의의 적절한 프로세스를 기판들에 대해 수행하도록 구성될 수 있다.
[0033] 기판들은 EFEM(114)으로부터 이송 챔버(102) 내에 수용될 수 있고, 또한 EFEM(114)의 표면(예컨대, 후면 벽)에 결합되는 로드락 장치(112)를 통해 EFEM(114)으로 이송 챔버(102)를 빠져나갈 수 있다. 로드락 장치(112)는 하나 이상의 로드락 챔버들(예컨대, 로드락 챔버들(112A, 112B))을 포함할 수 있다. 로드락 장치(112)에 포함된 로드락 챔버들(112A, 112B)은 단일 웨이퍼 로드락(SWLL: single wafer load locks) 챔버들, 다중 웨이퍼 챔버들, 또는 이들의 조합들일 수 있다.
[0034] EFEM(114)은 EFEM 챔버(114C)를 형성하는 (예를 들어, 전면, 후면 및 측벽들, 최상부 및 바닥과 같은) 측벽 표면들을 갖는 임의의 인클로저일 수 있다. 하나 이상의 로드 포트들이 EFEM(114)의 표면들(예컨대, 전면 표면들) 상에 제공될 수 있고 여기에 하나 이상의 기판 캐리어들(116)(예컨대, FOUP들)을 수용하도록 구성될 수 있다. 3개의 기판 캐리어들(116)이 도시되어 있지만, 더 많은 또는 더 적은 수들의 기판 캐리어들(116)이 EFEM(114)에 도킹될 수 있다.
[0035] EFEM(114)은 그의 EFEM 챔버(114C) 내에 종래의 구성의 (점선으로 도시된) 적절한 로드/언로드 로봇(117)을 포함할 수 있다. 로드/언로드 로봇(117)은 일단 기판 캐리어(116)의 도어가 열리면, 기판 캐리어(116)로부터 기판들을 추출하고 EFEM 챔버(114C)를 통해 로드락 장치(112)의 하나 이상의 로드락 챔버들(112A, 112B) 내로 기판들을 공급하도록 구성되고 작동할 수 있다. 선택적으로, 로드/언로드 로봇(117)은 일단 기판 캐리어(116)의 도어들이 열리면, 기판 캐리어(116)로부터 기판들을 추출하고 기판들이 처리를 대기하는 유휴 상태로 있는 동안 측면 저장 포드(144)로 기판들을 공급하도록 구성 및 작동될 수 있다. 측면 저장 포드(144)는 EFEM(114)의 측벽에 결합된다. 로드/언로드 로봇(117)은 프로세스 챔버들(108A-108F) 중 하나 이상의 프로세스 챔버들에서의 처리 이전 및 이후에 측면 저장 포드(144)로부터 기판들을 추출하고 측면 저장 포드(144)로 기판들을 로딩하도록 추가로 구성될 수 있다. 일부 실시예들에서, 로드/언로드 로봇(117)은 측면 저장 포드(144)에서 26개보다 더 높게, 또는 심지어 52개 이상의 높이로 적층된 기판들에 액세스하도록 구성된 하이 Z(high-Z) 로봇이다. 이송 챔버(102)와 EFEM 챔버(114C) 사이에서 기판들의 이송을 허용하는 로드락 장치(112)의 임의의 적절한 구성이 사용될 수 있다.
[0036] 도시된 실시예에서, EFEM 챔버(114C)에는 내부에 환경 제어 분위기를 제공하는 환경 제어부들이 제공될 수 있다. 특히, 환경 제어 시스템(118)이 EFEM(114)에 결합되고 EFEM 챔버(114C) 내의 환경 조건들을 모니터링 및/또는 제어하도록 동작한다. 일부 실시예들에서 그리고 특정 시점들에서, EFEM 챔버(114C)는 불활성 가스 공급부(118A)로부터 아르곤(Ar), 질소(N2) 또는 헬륨(He) 과 같은 불활성 및/또는 비반응성 가스를 내부에 수용할 수 있다. 다른 실시예들에서 또는 다른 시점들에서, 공기(예컨대, 건조 여과된 공기)가 공기 공급부(118B)로부터 제공될 수 있다. EFEM 챔버(114C) 내의 환경 조건들은 측면 저장 포드(144) 내에 그리고 그 일부로서 위치된 측면 저장 용기들(310 및 312, 도 3a)의 내부들에 존재할 수 있다.
[0037] 보다 상세하게는, 환경 제어 시스템(118)은: EFEM 챔버(114C) 내의 1) 상대 습도(RH: relative humidity), 2) 온도(T: temperature), 3) O2의 양, 또는 4) 불활성 가스의 양 중 적어도 하나를 제어할 수 있다. EFEM(114)의 다른 환경 조건들, 이를테면 EFEM 챔버(114C)로의 가스 유량, 또는 EFEM 챔버(114C) 내의 압력, 또는 이 둘 다가 모니터링 및/또는 제어될 수 있다.
[0038] 일부 실시예들에서, 환경 제어 시스템(118)은 제어기(106)를 포함한다. 제어기(106)는 다양한 센서들로부터 입력들을 수신하고 EFEM 챔버(114C) 내의 환경 조건들을 제어하도록 하나 이상의 밸브들을 제어하기 위한 적절한 프로세서, 메모리 및 전자 컴포넌트들을 포함할 수 있다. 환경 제어 시스템(118)은 하나 이상의 실시예들에서 상대 습도(RH)를 감지하도록 구성되는 상대 습도 센서(130)로 EFEM(114)에서 RH를 감지함으로써 상대 습도(RH)를 모니터링할 수 있다. 용량형 센서와 같은 임의의 적합한 타입의 상대 습도 센서(130)가 사용될 수 있다. 환경 제어 시스템(118)의 불활성 가스 공급부(118A)로부터 EFEM 챔버(114C) 내로 적절한 양의 불활성 가스를 유동시킴으로써 RH가 낮아질 수 있다. 본 명세서에서 설명되는 바와 같이, 불활성 가스 공급부(118A)로부터의 불활성 및/또는 비반응성 가스는 아르곤, N2, 헬륨, 다른 비반응성 가스 또는 이들의 혼합물들일 수 있다. 낮은 H2O 레벨들(예컨대, 순도 >= 99.9995%, H2O < 5ppm)을 갖는 압축된 벌크 불활성 가스들은 예를 들어, 환경 제어 시스템(118)에서 불활성 가스 공급부(118A)로서 사용될 수 있다.  다른 H2O 레벨들이 사용될 수 있다.
[0039] 다른 양상에서, 환경 제어 시스템(118)은 상대 습도 센서(130)로 상대 습도 값을 측정한다. 위에서 논의한 바와 같이, 제어기(106)로부터 환경 제어 시스템(118)으로의 제어 신호가 불활성 가스 공급부(118A)로부터 EFEM 챔버(114C)로의 적절한 양의 불활성 및/또는 비반응성 가스의 유동을 개시함으로써 RH가 낮아질 수 있다. 하나 이상의 실시예들에서, 사전 정의된 기준 상대 습도 값은 전자 디바이스 처리 시스템(100)에서 실행되고 있는 특정 프로세스 또는 EFEM(114)의 환경에 노출된 특정 기판들에 대해 허용할 수 있는 수분의 레벨에 따라, 1000ppm 미만의 수분, 500ppm 미만의 수분 또는 심지어 100ppm 미만의 수분일 수 있다.
[0040] 일부 실시예들에서, 전자 디바이스 처리 시스템(100)의 환경 제어 시스템(118)은 EFEM(114)에 결합된 공기 공급부(118B)를 포함할 수 있다. 공기 공급부(118B)는 적절한 도관들 및 하나 이상의 밸브들에 의해 EFEM(114)에 결합될 수 있다. 환경 제어 시스템(118)은 EFEM(114) 내에서 산소(O2)의 레벨을 감지하도록 구성 및 적응되는 산소 센서(132)를 포함할 수 있다. 일부 실시예들에서, 산소(O2)의 레벨을 임계 O2 값 미만으로 제어하기 위해, 불활성 가스 공급부(118A)로부터 EFEM 챔버(114C)로의 적절한 양의 불활성 가스의 유동을 개시하는, 제어기(106)로부터 환경 제어 시스템(118)으로의 제어 신호가 발생할 수 있다. 하나 이상의 실시예들에서, 임계 O2 값은 전자 디바이스 처리 시스템(100)에서 실행되고 있는 특정 프로세스 또는 EFEM(114)의 환경에 노출된 특정 기판들에 대해 허용할 수 있는(품질에 영향을 미치지 않는) O2의 레벨에 따라, 50ppm 미만, 10ppm 미만 또는 심지어 5ppm 미만일 수 있다. 환경 제어 시스템(118)은 EFEM(114) 내의 절대 또는 상대 압력을 측정하는 압력 센서(133)를 더 포함할 수 있다. 일부 실시예들에서, 제어기(106)는 불활성 가스 공급부(118A)로부터 EFEM 챔버(114C)로의 불활성 가스의 유동량을 제어하여 EFEM 챔버(114C) 내의 압력을 제어할 수 있다. 일부 실시예들에서, 산소 센서(132)는 EFEM 챔버(114C) 내의 산소 레벨을 감지하여, 이 산소 레벨이 안전한 임계 레벨을 초과하여 EFEM 챔버(114C) 내로의 진입을 허용함을 보장할 수 있다.
[0041] 본 명세서에 도시된 실시예들에서, 제어기(106)는 다양한 센서들(예컨대, 상대 습도 센서(130) 및/또는 산소 센서(132))로부터 제어 입력들을 수신하고 폐쇄 루프 또는 다른 적절한 제어 방식을 실행하도록 적응된 적절한 프로세서, 메모리 및 주변 컴포넌트들을 갖는 임의의 적절한 제어기일 수 있다. 일 실시예에서, 제어 방식은 EFEM(114) 내로 도입되고 있는 가스의 유량을 변경하여 EFEM(114) 내에서 미리 결정된 환경 조건을 달성할 수 있다. 다른 실시예에서, 제어 방식은 기판들을 EFEM(114)으로 언제 이송할지 결정할 수 있다.
[0042] EFEM(114)에 부착된 측면 저장 포드(144)는 특정 환경 조건들 하에서 기판들을 저장할 수 있다. 예를 들어, 측면 저장 포드(144)는 EFEM 챔버(114C)에 존재하는 것과 동일한 환경 조건들에서 기판들을 저장할 수 있다. 측면 저장 포드(144)는 EFEM 챔버(114C)에 유체 결합될 수 있고 EFEM(114)으로부터의 불활성 가스를 수용할 수 있다. 이에 따라, 측면 저장 포드(144)에 저장된 기판들은 EFEM(114)과 동일한 환경 조건들에 노출된다. 측면 저장 포드(144)는 측면 저장 포드(144)로부터 가스를 배기하기 위한 배기 도관들을 포함할 수 있으며, 이는 추가로, 측면 저장 포드(144)에 저장된 기판들이 EFEM(114)의 동일한 환경 조건들에 지속적으로 노출될 수 있게 한다. 이에 따라, EFEM 챔버(114C)의 환경의 제어는 또한 측면 저장 포드(144) 내부의 환경을 제어한다.
[0043] 도 2a는 EFEM(114)의 정면 입면도 및 측면 저장 포드(144)의 일 실시예의 측면 입면도를 예시한다. 도 2b는 측면 저장 포드(144)의 등각도를 예시한다. 도 2a 및 도 2b에 도시된 측면 저장 포드(144)는 제1 벽(200), 제1 벽(200)에 대향하여 위치된 제2 벽(202), 최상부벽(204) 및 바닥 벽(206)을 포함한다. 측면 저장 포드(144)의 전면부는 상부 도어(210) 및 하부 도어(212)를 포함할 수 있다. 상부 도어(210) 및 하부 도어(212)는 제1 벽(200), 제2 벽(202), 최상부벽(204) 및 바닥 벽(206)의 단부 부분들과의 밀봉을 형성할 수 있다. 상부 도어(210) 및 하부 도어(212)는 측면 저장 포드(144)의 내부로의 액세스는 가능하지만 닫히면 밀봉하는 제거 가능한 패널 도어들(예컨대, 나사식 밀봉 패널 도어들) 또는 힌지들(213)을 포함하는 힌지형 도어들일 수 있다. 일부 실시예들에서, 상부 도어(210) 및 하부 도어(212) 대신에 단일 도어가 사용될 수 있다. 상부 도어(210) 및 하부 도어(212) 상의 또는 단부 부분들 상의 적절한 O-링, 개스킷 또는 다른 밀봉부가 측면 저장 포드(144)의 기밀 밀봉을 형성할 수 있다. 일부 실시예들에서, 상부 도어(210)는 하부 도어(212)에 의해 밀봉된 제2 밀봉 구획으로부터 분리되고 별도로 밀봉 가능한 제1 밀봉 구획을 형성할 수 있다. 다른 타입들의 도어들이 측면 저장 포드(144)의 내부에 액세스하는 데 사용될 수 있다.
[0044] 측면 저장 포드(144)는 상부 도어(210) 및 하부 도어(212)에 대향하게 위치된 계면 측(215)을 가질 수 있다. 제1 측면(217) 및 제2 측면(218)을 갖는 패널(216)이 측면 저장 포드(144)의 계면 측(215)에 부착될 수 있다. 구체적으로, 패널(216)의 제1 측면(217)은 측면 저장 포드(144)의 계면 측(215)에 부착될 수 있다. 패널(216)의 제2 측면(218)은 EFEM(114)의 외부에 위치된 표면(220)에 부착될 수 있다. 패널(216)은 아래에서 설명되는 바와 같이 EFEM(114)의 내부와 측면 저장 포드(144)의 내부 사이에서 기밀 밀봉된 계면을 형성할 수 있다. 일부 실시예들에서, 패널(216)은 측면 저장 포드(144) 또는 EFEM(114)과 일체로 형성될 수 있다.
[0045] 도 2b에 가장 잘 도시된 바와 같이, 측면 저장 포드(144)는 EFEM(114)의 EFEM 챔버(114C)와 측면 저장 포드(144)의 내부 사이의 가스 유동을 가능하게 하거나 방지하는 상부 내부 도어(222) 및 하부 내부 도어(224)를 포함할 수 있다. 상부 내부 도어(222) 및 하부 내부 도어(224)는 아래에서 더 상세히 설명되는 바와 같이 벽(예컨대, 도시된 바와 같은 제2 벽(202) 또는 다른 벽) 및/또는 패널(216) 내외로 슬라이딩하는 패널들일 수 있다. 상부 내부 도어(222) 및 하부 내부 도어(224)는 이들이 도 2b에 도시된 바와 같이 닫힌 상태에 있을 때 측면 저장 포드(144)의 내부로부터 EFEM 챔버(114C)를 밀봉할 수 있다. 상부 내부 도어(222) 및 하부 내부 도어(224)는 내부 도어들이 열린 상태일 때 EFEM 챔버(114C)로부터 측면 저장 포드(144) 내에 수용된 측면 저장 용기들(310, 312)(도 3a)의 내부로의 가스 유동을 가능하게 할 수 있다. 상부 내부 도어(222) 및 하부 내부 도어(224)의 다른 구성들은 측면 저장 용기들(310, 312)의 내부들의 선택적 개방 및 폐쇄를 달성하기 위해 측면 저장 포드(144)에 사용될 수 있다.
[0046] 측면 저장 포드(144)는 상부 내부 도어(222) 및 하부 내부 도어(224)와 각각 맞물리는 상부 연동 디바이스(225) 및 하부 연동 디바이스(226)를 포함할 수 있다. 상부 연동 디바이스(225)는 상부 내부 도어(222)와 맞물리고 풀어지도록 이동 가능할 수 있는 래치(227)를 포함할 수 있다. 예를 들어, 래치(227)는 통상적으로 상부 내부 도어(222)가 열리는 것을 방지하는 포지션에 있을 수 있다. 본 명세서에서 설명되는 특정 연동 조건들이 충족될 때, 래치(227)는 상부 내부 도어(222)가 열릴 수 있게 하도록 사용자에 의해 이동되거나 이동할 수 있다. 하부 연동 디바이스(226)는 래치(227)와 동일한 방식으로 작동하는 래치(228)를 가질 수 있다. 특정 조건들이 충족되지 않는 한, 상부 내부 도어(222) 및 하부 내부 도어(224)가 열리는 것을 방지하기 위해 다른 연동 디바이스들이 사용될 수 있다.
[0047] 측면 저장 포드(144)는, 그 내부에 위치되고 측면 저장 용기들(310, 312)을 포함하는 상부 챔버(302) 및 하부 챔버(304)(도 3a)를 포함할 수 있으며, 상부 챔버(302) 및 하부 챔버(304) 각각은 이들을 통과하는 배기 도관을 가질 수 있다. 도관들은 상부 챔버(302) 및 하부 챔버(304) 내에 수용된 측면 저장 용기들(310, 312)에 결합되어 측면 저장 용기들(310, 312) 내에서부터 가스를 배기할 수 있다. 도 2b 및 도 3b에 도시된 측면 저장 포드(144)는 상부 배기 도관(230) 및 하부 배기 도관(234)을 포함한다. 상부 배기 도관(230) 및 하부 배기 도관(234)은 신속 연결 부재들(301U, 301L)(도 3b)에 결합되어 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312)가 각각의 상부 챔버(302) 및 하부 챔버(304)에서 신속하게 제거 또는 수용될 수 있게 할 수 있다. 일부 실시예들에서, 신속 연결 부재들(301U, 301L)은 각각, 측면 저장 포드(144) 내에 수용된 측면 저장 용기들(310, 312)로부터의 가스 유동을 조절할 수 있고 또한 그로부터 신속한 분리를 가능하게 할 수 있는 밸브(예컨대, 체크 밸브 또는 다른 적절한 제어 밸브)를 포함할 수 있다. 선택적으로, 가스 유동을 조절하도록 구성된 밸브들은 신속 연결 부재들(301U, 301L)의 하류 다른 곳에 위치될 수 있다. 측면 저장 포드(144)는 배기 도관들(230, 234)과 벽(예컨대, 제2 벽(202)) 사이에 위치된 밀봉 재료(240)(예컨대, 그로밋(grommet) 또는 다른 기밀 밀봉부)를 가질 수 있는데, 이는 가스가 도관들에 인접한 측면 저장 포드(144) 내외로 누출되는 것을 방지한다. 배기 도관들(230, 234)은 다른 구성들로, 이를테면 다른 벽들을 통해 라우팅될 수 있다.
[0048] 측면 저장 포드(144)의 내부의 실시예를 예시하기 위해 이제 도 3a 및 도 3b가 참조된다. 도 3a는 EFEM(114) 및 측면 저장 포드(144)의 정면도를 예시하는데, 측면 저장 포드(144)의 내부의 실시예를 도시하기 위해 제1 벽(200)(도 2a)이 제거되어 있다. 도 3b는 측면 저장 포드(144)의 내부의 실시예를 도시하기 위해 최상부벽(204), 제1 벽(200), 상부 도어(210) 및 하부 도어(212)가 제거된 측면 저장 포드(144)의 등각도를 예시한다.
[0049] 도시된 바와 같이, 측면 저장 포드(144)는 상부 챔버(302) 및 하부 챔버(304)를 포함한다. 그러나 측면 저장 포드들의 다른 실시예들은 더 많은 수의 챔버들, 이를테면 3개 이상의 수직으로 적층된 챔버들을 포함할 수 있다. 상부 챔버(302)는 이를테면, 상부 도어(210)를 통해 상부 측면 저장 용기(310)를 수용하도록 구성된다. 하부 챔버(304)는 이를테면, 하부 도어(212)를 통해 하부 측면 저장 용기(312)를 수용하도록 구성된다. (도 3b의 브레이크아웃(breakout)에 도시된 바와 같이) 복수의 기판들(335)은 상부 측면 저장 용기(310)와 EFEM(114) 그리고 하부 측면 저장 용기(312)와 EFEM(114) 사이에서 이송 가능하다. 예를 들어, 로드/언로드 로봇(117)은 하나 이상의 처리 챔버들(108A-108F)에서의 처리 이전 및/또는 이후에 EFEM(114)과 상부 측면 저장 용기(310)와 하부 측면 저장 용기(312) 사이에서 기판들(335)을 이송할 수 있다. 일부 실시예들에서, 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312)는 각각 26개의 기판들(335)을 수용할 수 있다. 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312)는 기판들의 저장 동안 기판들을 특정 환경 조건들 하에서 유지할 수 있다. 예를 들어, 기판들(335)은 앞서 설명한 바와 같이 EFEM(114) 내에 있는 불활성 가스 또는 다른 가스에 노출될 수 있다. 환경 조건들은 미리 선택된 임계치들 미만의 물 및/또는 O2 또는 위에서 지정된 바와 같은 다른 조건들에 대한 노출을 제공하도록 제어될 수 있다.
[0050] 상부 측면 저장 용기(310)는 전면부(316) 및 후면부(318)를 갖는다. 하부 측면 저장 용기(312)는 전면부(320) 및 후면부(322)를 갖는다. 상부 측면 저장 용기(310)의 전면부(316)는 상부 플랜지(324)를 가질 수 있고, 하부 측면 저장 용기(312)의 전면부(320)는 하부 플랜지(326)를 가질 수 있다. 상부 플랜지(324) 및 하부 플랜지(326)는 EFEM(114), 상부 측면 저장 용기(310)의 내부 및 하부 측면 저장 용기(312)의 내부로부터의 가스가 상부 챔버(302) 및 하부 챔버(304)로 들어가는 것을 방지하는 밀봉부들(327)(예컨대, 개스킷들, O-링들 등 ― 도 3c 참조)을 그 주변부 주위에 가질 수 있다.
[0051] 상부 측면 저장 용기(310)의 상부 플랜지(324) 및 하부 측면 저장 용기(312)의 하부 플랜지(326)는 고정 메커니즘들(330)에 의해 패널(216)의 제1 측면(217)에 부착(예컨대, 클램핑)될 수 있다. 상부 측면 저장 용기(310)의 상부 플랜지(324)를 패널(216)의 제1 측면(217)에 고정시키는 고정 메커니즘(330)의 실시예의 확대도를 예시하기 위해 도 3c가 추가로 참조된다. 고정 메커니즘(330)의 다음 설명은 모든 고정 메커니즘들(330)에 적용 가능하다. 고정 메커니즘(330)은 블록(332) 및 립(lip) 부분(334)을 포함할 수 있다. 립 부분(334)은 상부 측면 저장 용기(310)의 상부 플랜지(324)와 맞물리도록 구성될 수 있다. 패스너(336)가 블록(332) 내의 슬롯(337)을 통과할 수 있고 패널(216)에 끼워질 수 있다. 패스너(336)가 조여질 때, 이는 상부 플랜지(324)를 패널(216)의 제1 측면(217)을 향해 가압하며, 이는 상부 측면 저장 용기(310)를 패널(216)에 고정 및 밀봉한다.
[0052] 슬롯(337)은 패스너(336)가 느슨해질 때 고정 메커니즘(330)이 상부 플랜지(324) 쪽으로 그리고 그로부터 멀리 이동될 수 있게 한다. 이러한 움직임은 상부 플랜지(324)가 패널(216)에 대해 상이한 포지션들에 있을 때 고정 메커니즘(330)이 상부 플랜지(324)와 맞물릴 수 있게 한다. 움직임은 추가로, 고정 메커니즘(330)이 패스너(336)를 제거하지 않고 상부 플랜지(324)와 맞물리지 않도록 상부 플랜지(324)로부터 멀리 슬라이딩될 수 있게 한다. 이에 따라, 상부 측면 저장 용기(310)는 패스너(336)를 제거하지 않고 패널(216)로부터 제거될 수 있다. 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312)를 패널(216)에 고정하기 위해 다른 메커니즘들이 사용될 수 있다. 도시된 실시예에서, (측면당 2개씩) 4개의 고정 메커니즘들(330)이 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312) 각각을 고정하는 데 사용될 수 있다. 그러나 다른 수들 및 위치들의 고정 메커니즘(330)이 사용될 수 있다.
[0053] 상부 챔버(302)와 하부 챔버(304)는 개별적으로 밀봉 가능할 수 있다. 구체적으로, 상부 챔버(302)는 상부 챔버(302)의 내부와 측면 저장 포드(144)의 외부 사이에서 가스의 교환을 방지하도록 밀봉 가능할 수 있다. 상부 챔버(302)의 내부는 또한 EFEM 챔버(114C)와 교환되는 가스가 없도록 밀봉 가능할 수 있다. 밀봉은 상부 챔버(302)와 하부 챔버(304) 사이의 가스 교환을 추가로 방지할 수 있다. 그러나 가스는 EFEM 챔버(114C)로부터 상부 측면 저장 용기(310) 내로 그리고 상부 배기 도관(230) 밖으로 유동할 수 있다. 마찬가지로, 가스는 EFEM 챔버(114C)로부터 하부 측면 저장 용기(312) 내로 그리고 하부 배기 도관(234) 밖으로 유동할 수 있다.
[0054] 도 4a는 EFEM(114)과 측면 저장 포드(144) 사이의 계면의 실시예의 측단면도를 예시한다. 구체적으로, 도 4a는 상부 측면 저장 용기(310)와 EFEM(114) 간의 계면을 도시하는데, 이는 하부 측면 저장 용기(312)(도 3b)와 EFEM(114) 간의 계면과 동일할 수 있다. 패널(216)은 제1 측면(217)으로부터 연장되어 상부 측면 저장 용기(310)의 전면부(316)와 맞물리는 계면부(400)를 포함한다. 계면부(400)는 패널(216)에 형성된 패널 개구(402)의 주변부 둘레로 연장된다. 패널 개구(402)는 EFEM(114)에서 계면 개구(404)에 인접하게 위치된다. 도 4a에 도시된 상부 챔버(302)는 닫힌 상태에 있는데, 상부 내부 도어(222)는 EFEM 챔버(114C)와 상부 측면 저장 용기(310) 사이의 가스 유동을 차단하기 위해 패널 개구(402)에 인접한 위치에 있다.
[0055] 계면부(400)는 상부 내부 도어(222), 도어 밀봉부(410) 및 도어 가이드(412)를 수용하는 패널 개구(402)의 주변부 둘레로 연장되는 도어 리세스(406)를 포함할 수 있다. 다른 실시예들에서, 상부 내부 도어(222), 도어 밀봉부(410) 및 도어 가이드(412)는 별도의 리세스들에 수용될 수 있다. 도어 밀봉부(410)는 상부 내부 도어(222)를 지나 가스가 누출되는 것 그리고/또는 상부 내부 도어(222)가 제거될 때 남겨진 빈 공간을 지나 누출되는 것을 방지하는 탄성 재료일 수 있다. 이에 따라, 도어 밀봉부(410)는 가스가 상부 챔버(302)의 내부로 그리고 또한 측면 저장 포드(144) 밖으로 누출되는 것을 방지할 수 있다. 도어 가이드(412)는 미리 결정된 위치에서 상부 내부 도어(222)를 지지하며 상부 내부 도어(222)를 도어 밀봉부(410)에 대해 가압하는 재료 및/또는 구조로 이루어질 수 있다. 측면 저장 포드(144)에는 다른 밀봉부들 및 도어 가이드들이 사용될 수 있다.
[0056] 상부 내부 도어(222)가 열린 상태에 있을 때 상부 측면 저장 용기(310)는 상부 측면 저장 용기(310)의 내부에 단일 개구를 형성하도록 패널 개구(402)에 인접하게 위치되는 포드 개구(416)를 가질 수 있다. 패널 개구(402)는 포드 개구(416)와 동일한 대략적 크기일 수 있다. 포드 리세스(418)가 상부 플랜지(324)에 형성될 수 있고 포드 개구(416)의 주변부 둘레로 연장될 수 있다. 포드 밀봉부(420)는 포드 리세스(418) 내에 수용될 수 있다. 포드 밀봉부(420)는 상부 측면 저장 용기(310)의 전면부(316)와 패널(216)의 계면부(400)의 계면을 지나서 가스가 누출되는 것을 방지한다. 포드 밀봉부(420)는 엘라스토머 기반 재료와 같은 유연한 재료일 수 있는데, 이는 포드 리세스(418)와 계면부(400)에 접촉한다. 일부 실시예들에서, 포드 밀봉부(420)는 포드 리세스(418)와 계면부(400) 사이에 밀봉을 형성하도록 변형될 수 있는 유연한 튜브이다. 다른 타입들의 밀봉부들은 상부 측면 저장 용기(310) 및 계면부(400)를 밀봉하는 데 사용될 수 있다.
[0057] 패널(216)의 제2 측면(218)은 패널 개구(402)의 주변부 둘레로 연장되는 패널 리세스(424)가 내부에 형성될 수 있다. 패널(216)과 EFEM(114)의 표면(220) 사이의 가스 교환을 방지하기 위해 패널 밀봉부(426)가 패널 리세스(424) 내에 수용될 수 있다. 패널 밀봉부(426)는 평평한 밀봉부일 수 있고 에틸렌 프로필렌 디엔 단량체(EPDM: ethylene propylene diene monomer) 고무로 만들어질 수 있다. 일부 실시예들에서, 패널 밀봉부(426)는 약 11㎜ 깊이이고 약 5.8㎜로 압축된다. 표면(220)과 패널(216) 사이에 밀봉을 형성하기 위해 다른 타입들의 밀봉 메커니즘들 및 재료들이 사용될 수 있다.
[0058] 상부 측면 저장 용기(310)의 내부는 기판들(335)(도 3b)을 위에 지지하도록 구성된 복수의 기판 홀더들(430)을 포함할 수 있다. 기판 홀더들(430)은 상부 측면 저장 용기(310)의 측방향 면들에 형성된 수직으로 적층된 선반들일 수 있으며, 최상부 기판 홀더(432) 및 바닥 기판 홀더(434)를 포함할 수 있다. 기판 홀더들(430)은 서로 거리를 두고 이격될 수 있으며, 이는 기판 홀더들(430)에 의해 수용되고 기판 홀더들(430) 상에 지지되는 기판들(335) 주위의(예컨대, 위와 아래의) 가스 유동을 가능하게 한다. 구체적으로, 패널 개구(402), 계면 개구(404) 및 포드 개구(416)를 통해 EFEM 챔버(114C)로부터 상부 측면 저장 용기(310)의 내부로 들어가는 가스는 기판 홀더들(430) 상에 수용된 기판들(335) 주위로 유동할 수 있다. 이에 따라, 기판들(335)은 EFEM(114)에 존재하는 것과 동일한 환경 조건들에서 유지된다.
[0059] 상부 측면 저장 용기(310)의 후면부(318)는 상부 측면 저장 용기(310)의 내부를 배기 덕트(338)와 결합하는 개구(436)를 포함할 수 있다. 배기 덕트(338)는 기판 홀더들(430) 상에 수용된 기판들(335) 주위로 앞서 설명한 가스 유동을 제공하도록 구성될 수 있다. 배기 덕트(338)는 최상부 기판 홀더(432)와 바닥 기판 홀더(434) 사이에서 수직으로 연장되는 높이를 가질 수 있다. 배기 덕트(338)는 대략 기판들(335)의 폭인 폭을 가질 수 있다. 예를 들어, 폭은 300㎜ 웨이퍼에 대해 약 250㎜ 내지 350㎜일 수 있다. 배기 덕트(338)는 상부 배기 도관(230)(도 2b)에 결합되도록 구성된 배기 포트(440)를 포함할 수 있다. 이에 따라, 상부 측면 저장 용기(310)의 내부를 통한 가스 유동은 포드 개구(416)로 들어가고, 기판 홀더들(430) 상에 지지된 기판들(335) 주위를 통과하고, 개구(436)를 통해 배기 덕트(338)로 들어가고, 배기 포트(440) 및 상부 배기 도관(230)을 통해 배기된다. 기류 구성은 기판 홀더들(430)에 수용된 기판들(335)이 EFEM(114)과 동일한 환경 조건들에 있을 수 있게 한다. 일부 실시예들에서, 배기 포트(440)는 도 4a에 도시된 바와 같이 배기 덕트(338)의 가장 낮은 부분에 근접하게 위치된다.
[0060] 상부 측면 저장 용기(310)가 플랫폼(444) 상에 놓일 수 있다. 플랫폼(444)은 고정 메커니즘들 및 그에 결합된 레벨링 메커니즘을 포함할 수 있다. 하부 측면 저장 용기(312)(도 3a)는 플랫폼(444)과 동일하거나 유사한 플랫폼 상에 놓일 수 있다. 일부 실시예들에서, 플랫폼(444)은 측면 저장 포드(144)와 일체형이고 다른 실시예들에서 플랫폼(444)은 측면 저장 포드(144) 내의 구조물에 부착된 별도의 유닛이다. 이제 플랫폼(444)의 일 실시예의 상부 평면도를 도시하는 도 5a 및 플랫폼(444)의 측면 입면도를 도시하는 도 5b가 추가로 참조된다. 플랫폼(444)은 상부 측면 저장 용기(310)가 위에 포지셔닝될 수 있는 상부 표면(500) 및 하부 표면(502)을 포함할 수 있다.
[0061] 플랫폼(444)은 상부 측면 저장 용기(310)의 레벨링을 돕도록 구성된 복수의 기구학적 핀(kinematic pin)들(508)을 포함할 수 있다. 도 5a 및 도 5b의 실시예는 상부 표면(500)으로부터 연장되며 상부 측면 저장 용기(310)에 맞물리는 3개의 기구학적 핀들(508)을 포함한다. 다른 실시예들은 3개 이상의 기구학적 핀들(508)을 포함할 수 있다. 일부 실시예들에서, 기구학적 핀들(508)이 상부 표면(500)으로부터 연장되는 높이가 조정될 수 있도록 기구학적 핀들(508)의 체결 단부들의 높이들이 조정 가능하다. 3개의 기구학적 핀들(508)의 높이의 조정은 플랫폼(444)에 대한, 그리고 보다 구체적으로는 EFEM 챔버(114C)의 로드/언로드 로봇(117)에 대한 상부 측면 저장 용기(310)의 재배향(예컨대, 레벨링 및/또는 높이 조정)을 가능하게 한다. 기구학적 핀들(508) 각각은 대략 0㎜ 내지 5㎜, 또는 심지어 0㎜ 내지 2㎜의 높이 조정을 제공할 수 있다. 기구학적 핀들(508)은 상부 측면 저장 용기(310)의 밑면에 형성된 만곡부들(545)에 수용될 수 있다. 기구학적 핀들(508)은 테이퍼형, 돔형 및 이들의 조합들을 포함하는 임의의 적절한 단부 형상을 포함할 수 있다. 플랫폼(444)에 대한 상부 측면 저장 용기(310)의 높이를 레벨링 및/또는 조정하기 위해 다른 디바이스들이 사용될 수 있다.
[0062] 플랫폼(444)은 플랫폼(444) 상의 상부 측면 저장 용기(310)의 안착에 대한 응답으로 전기 신호들을 발생시키는 복수의 플랫폼 센서들(514)을 더 포함할 수 있다. 플랫폼 센서들(514)은 접촉 센서 또는 비접촉 센서(예컨대, 리드(Reed) 센서, 홀 효과 센서, 압력 감지 저항(FSR: force sensitive resistor) 센서, 자기 센서, 미세 접촉 스위치, 롤러 레버 마이크로 스위치, 푸시 버튼 스위치 등)와 같은 임의의 적절한 근접 센서일 수 있다. 도 5a 및 도 5b의 실시예는 3개의 플랫폼 센서들(514)을 포함하는데, 하나는 기구학적 핀들(508) 각각에 근접하게 위치된다. 플랫폼 센서들(514)은 상부 측면 저장 용기(310)가 플랫폼(444) 및/또는 기구학적 핀들(508) 상에 적절하게 놓이게 될 때 상태를 변경하는 스위치들일 수 있다. 플랫폼 센서들(514)의 상태들은 상부 내부 도어(222)(도 4a)가 열릴 수 있는지 여부를 결정하기 위한 연동 신호들을 제공하는 데 사용될 수 있다. 상부 측면 저장 용기(310)가 플랫폼(444) 상에 적절하게 안착되는지 여부를 결정하기 위해 다른 센서 디바이스들이 사용될 수 있다.
[0063] 고정 디바이스(520)는 상부 측면 저장 용기(310)를 플랫폼(444)에 고정하도록 구성될 수 있다. 도 5a 및 도 5b에 도시된 고정 디바이스(520)는 상부 측면 저장 용기(310)를 플랫폼(444)의 상부 표면(500)을 향해 가압하는 공기 실린더(523)를 포함할 수 있다. 고정 디바이스(520)는 플랫폼(444) 내의 구멍(522)을 관통하여 연장될 수 있다. 탭(526)은 상부 측면 저장 용기(310)의 밑면에 형성된 체결부(527)와 맞물릴 수 있다. 그 다음, 공기 실린더가 탭(526)을 상부 표면(500) 쪽으로 가압할 수 있으며, 이는 상부 측면 저장 용기(310)를 플랫폼(444)에 고정한다. 일부 실시예들에서, 탭(526)은 통상적으로 상부 측면 저장 용기(310)를 플랫폼(444) 쪽으로 가압하는 포지션에 있고, 고정 디바이스(520)로의 공기 압력의 증가에 대한 응답으로 해제된다. 일부 실시예들에서, 공기 실린더(523)가 탭(526)으로 하여금 체결부(527)에 힘을 가하게 하도록 작동될 때, 상부 측면 저장 용기(310)의 밑면 상의 만곡부들(545)이 기구학적 핀들(508)의 체결 단부들과 접촉하여 가압된다.
[0064] 도 4a를 다시 참조하면, 밀봉부(450)는 상부 도어(210)와 상부 챔버(302)의 벽들 사이에 포지셔닝될 수 있다. 마찬가지로, 밀봉부(452)는 하부 도어(212)와 하부 챔버(304)의 벽들 사이에 포지셔닝될 수 있다. 밀봉부(450) 및 밀봉부(452)는 상부 도어(210)를 상부 챔버(302)에 그리고 하부 도어(212)를 하부 챔버(304)에 기밀하게 밀봉하는 역할을 할 수 있다. 밀봉부(450)는 밀봉부(452)와 동일한 물리적 구성을 가질 수 있다.
[0065] 밀봉부(450)의 확대된 측단면도를 예시하는 도 4b가 참조된다. 밀봉부(450)는 벌브형(bulb-type) 밀봉부일 수 있고, 상부 챔버(302)의 벽들과 접촉하도록 구성되는 링(453)을 포함할 수 있다. 접촉은 벽들과 상부 도어(210) 사이에 기밀 밀봉을 형성하도록 링(453)을 압축시키거나 변형시킬 수 있다. 스템(454)이 링(453)을 푸팅(footing)(456)에 결합할 수 있는데, 푸팅(456)은 상부 도어(210)에 체결(예컨대, 부착)될 수 있다. 밀봉부(452)(도 4a)는 밀봉부(450)와 동일한 구성을 가질 수 있고 하부 도어(212)에 체결될 수 있다. 링(453)은 약 12㎜의 직경을 가질 수 있고 약 6㎜로 압축될 수 있다. 밀봉부(450) 및 밀봉부(452)는 EPDM 고무로 만들어질 수 있다. 다른 밀봉 구성들 및 재료들이 사용될 수 있다.
[0066] 도 6은 배기 도관들 및 그에 결합된 배기 제어 디바이스들의 실시예를 도시하는 개략도를 예시한다. 도 6은 단지 예시 목적들로 (겹치게와는 반대되는) 나란한 배향으로 위치된 상부 챔버(302) 및 하부 챔버(304)를 도시한다. 예시된 실시예에서, 상부 배기 도관(230)은 직렬로 결합된 제1 밸브(600)를 포함할 수 있고, 하부 배기 도관(234)은 직렬로 결합된 제2 밸브(602)를 가질 수 있다. 상부 배기 도관(230) 및 하부 배기 도관(234)은 직렬로 결합된 메인 밸브(612)를 가질 수 있는 메인 배기 도관(610)에 결합될 수 있다. 제1 밸브(600)는 상부 배기 도관(230)을 통한 가스 유동을 제어하고, 제2 밸브(602)는 하부 배기 도관(234)을 통한 가스 유동을 제어한다. 메인 밸브(612)는 메인 배기 도관(610)을 통한 가스 유동을 제어한다. 펌프(620)가 메인 배기 도관(610)에 결합되어 상부 배기 도관(230) 및 하부 배기 도관(234)을 통해 가스를 밀어낼 수 있다. 펌프(620)는 상부 배기 도관(230) 및 하부 배기 도관(234)으로부터 그리고 이에 따라 배기 덕트(338)로부터 가스를 인출하는 진공 펌프일 수 있다. 일부 실시예들에서, 제어기(106)(도 1)는 EFEM 챔버(114C) 내의 가스 압력을 제어하기 위해 불활성 가스 공급부(118A)로부터의 유입과 함께 메인 밸브(612)를 통한 가스 유동을 설정한다. 일부 실시예들에서, EFEM 챔버(114C) 내의 절대 압력은 예를 들어, 약 1" H20(250pa) 내지 약 2.5" H20(625pa)일 수 있다.
[0067] 제1 밸브(600), 제2 밸브(602), 메인 밸브(612) 및 펌프(620)는 제어기(106)와 같은 제어기에 의해 작동될 수 있다. 제어기(106)는 밸브들을 열거나 닫을 수 있고, 펌프(620)의 진공 압력을 설정할 수 있다. 다른 배기 구성들 및 제어들이 사용될 수 있다.
[0068] EFEM(114) 및 측면 저장 포드(144)의 컴포넌트들의 대부분을 설명하였지만, 이제 이들의 동작이 설명될 것이다. 패널(216)의 제2 측면(218)은 EFEM(114)의 표면(220)에 체결될 수 있다. 체결은 패널 밀봉부(426)로 하여금 EFEM(114)의 측벽의 표면(220)과 맞물리게 하고 가스가 EFEM 챔버(114C)로부터 누출되는 것을 방지하게 한다. 상부 내부 도어(222) 및 하부 내부 도어(224)는 패널(216) 내에 삽입되어 EFEM 챔버(114C)로부터의 가스 유동이 상부 챔버(302) 및 하부 챔버(304)로 유입되는 것을 방지할 수 있다. 그 다음, EFEM(114)은 상부 챔버(302), 하부 챔버(304), 그리고 EFEM 챔버(114C) 외부의 공장 환경으로부터 밀봉되기 때문에 EFEM(114)이 작동될 수 있다.
[0069] 다음의 설명은 상부 챔버(302) 내에 상부 측면 저장 용기(310)를 부착하는 것을 기술하며 하부 챔버(304) 내에 하부 측면 저장 용기(312)를 부착하는 것에도 또한 적용 가능하다. 상부 측면 저장 용기(310)는 상부 도어(210)의 개방 또는 제거를 통해 상부 챔버(302) 내에 수용될 수 있다. 그 다음, 상부 측면 저장 용기(310)는 플랫폼(444)의 상부 표면(500)에 근접하게 놓이게 될 수 있다. 기구학적 핀들(508)은 상부 측면 저장 용기(310)의 밑면에 형성된 만곡부들(545) 내에 수용될 수 있다. 기구학적 핀들(508)의 높이들은 상부 측면 저장 용기(310)를 수평면으로 또는 로드/언로드 로봇(117)의 엔드 이펙터의 평면으로 상승, 하강 및/또는 레벨링하도록 조정될 수 있다. 상부 측면 저장 용기(310)가 플랫폼(444) 상에 적절하게 놓이게 되면, 상부 측면 저장 용기(310)는 플랫폼 센서들(514)을 토글할 수 있다. 상부 플랜지(324)는 고정 메커니즘들(330)에 의해 패널(216)의 제1 측면(217)에 체결될 수 있다. 체결은 포드 밀봉부(420)를 계면부(400)와 맞물리게 하며, 이는 EFEM 챔버(114C)로부터의 가스가 상부 챔버(302)로 들어가는 것을 방지한다. 상부 배기 도관(230)은 이를테면, 신속 연결 부재(301U)에 의해 배기 포트(440)에 체결될 수 있고, 상부 도어(210)는 닫힐 수 있다. 이때, 상부 도어(210)는 닫힌 구성으로 있으며, (도시되지 않은) 래치 등이 상부 도어(210)의 의도되지 않은 개방을 방지할 수 있다.
[0070] 제어기(106)와 같은 제어기는 래치(227)가 이동될 수 있게 하고 상부 내부 도어(222)가 열린 상태에 놓이기 전에 특정 연동들을 확인할 수 있다. 연동들은 플랫폼 센서들(514)이 정확한 상태에 있고 상부 도어(210)가 폐쇄 및/또는 래치되는 것을 보장할 수 있다. 다른 연동 디바이스들은 상부 측면 저장 용기(310)가 패널(216)의 제1 측면(217)에 인접하고 패널(216)이 EFEM(114)의 표면(220)에 인접하게 위치되는 것을 보장할 수 있다. 다른 연동 디바이스들은 상부 배기 도관(230)이 신속 연결 부재들(301U)에 결합되는 것을 보장할 수 있다. 더 많은, 더 적은 또는 다른 연동 조건들이 사용될 수 있다. 모든 연동 조건들이 충족되었을 때, 래치(227)는 상부 내부 도어(222)가 제거될 수 있게 하도록 이동되거나 아니면 해제될 수 있다. 이때 또는 이 시점 이전에, 제어기(106)는 제1 밸브(600) 및 메인 밸브(612)를 개방할 수 있고 펌프(620)를 작동시킬 수 있다. 마찬가지로, 불활성 가스 공급부(118A)는 EFEM 챔버(114C)에 불활성 가스를 공급하기 시작할 수 있다. 일부 실시예들에서, 상부 내부 도어(222)의 제거는 상부 내부 도어(222)가 열린 상태에 있을 때 상부 도어(210)가 열리지 않을 수 있게 상부 도어(210)를 잠글 수 있다.
[0071] 로드/언로드 로봇(117)은 기판 홀더들(430) 내외로 기판들(335)을 이동시킬 수 있다. 로드/언로드 로봇(117)은 상부 측면 저장 용기(310)의 최상부 기판 홀더(432) 및 하부 측면 저장 용기(312)의 바닥 기판 홀더(434) 내의 기판들에 액세스하기에 충분히 높고 낮은 수직 액세스를 하는 하이 Z 로봇일 수 있다. 일부 실시예들에서, 기판들(335)은 처리의 유휴 기간들 동안 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312) 내에 배치된다.
[0072] EFEM 챔버(114C)로부터의 가스는 계면 개구(404), 패널 개구(402) 및 포드 개구(416)를 통해 그리고 상부 측면 저장 용기(310)의 내부로 유동한다. 이에 따라, 기판 홀더들(430) 상에 수용된 기판들(335)은 앞서 설명한 바와 같이 제어기(106)에 의해 설정되는 EFEM(114)의 환경 조건들에 노출된다. 가스는 배기 덕트(338) 내로 그리고 배기 포트(440) 밖으로 계속 유동한다. 가스는 적어도 펌프(620)에 의해 상부 배기 도관(230)을 통해 그리고 메인 배기 도관(610)으로 배기된다. 일부 실시예들에서, 기판들(335)을 통과하는 가스는 기판들(335)과의 접촉 이후 오염될 수 있으므로, 가스는 EFEM(114)으로 다시 재순환되는 것이 아니라 적절한 정화제로 유동할 수 있다.
[0073] 어떤 시점에서, 상부 측면 저장 용기(310)나 하부 측면 저장 용기(312), 또는 이 둘 다는 이를테면, 세정을 위해 제거될 수 있다. 다음의 설명은 상부 측면 저장 용기(310)의 제거를 기술하며 하부 측면 저장 용기(312)의 제거에도 또한 적용 가능하다. 상부 내부 도어(222)는 패널(216) 내에서 교체될 수 있으며, 이는 EFEM(114)으로부터 상부 측면 저장 용기(310)의 내부로의 가스 유동을 방지한다. 다른 배출 기술들이 상부 측면 저장 용기(310)로부터 잔류 가스를 제거하는 데 사용될 수 있다. 상부 배기 도관(230)과 직렬인 제1 밸브(600)는 폐쇄될 수 있다. 상부 내부 도어(222)의 교체는 상부 도어(210)가 열릴 수 있게 할 수 있다. 상부 배기 도관(230)은 신속 연결 부재(301U)로부터 해제될 수 있고 고정 메커니즘들(330)이 상부 플랜지(324)로부터 해제될 수 있다. 고정 디바이스(520)는 탭(526)을 해제하도록 작동될 수 있고, 상부 측면 저장 용기(310)는 상부 챔버(302)로부터 제거될 수 있다. 상부 측면 저장 용기(310)가 상부 챔버(302)로부터 제거되는 시간 동안, 래치(227)는 상부 내부 도어(222)가 열리는 것을 방지하도록 맞물릴 수 있다. 예를 들어, 래치(227)는 상부 도어(210)가 열리는 것에 대한 응답으로 상부 내부 도어(222)와 맞물릴 수 있다.
[0074] EFEM(114)은 상부 측면 저장 용기(310)의 제거 및 교체 중에 계속해서 작동할 수 있다. 구체적으로, 상부 내부 도어(222)는 그대로 제자리에 유지되는데, 이는 상부 챔버(302)의 내부로부터 EFEM(114)을 기밀 밀봉한다. 마찬가지로, 상부 측면 저장 용기(310)는 하부 측면 저장 용기(312)의 제거 및 교체 중에 로드/언로드 로봇(117)에 의해 작동 가능하고 액세스될 수 있다.
[0075] 이제 상부 내부 도어(222)가 부분적으로 열린 상태이고 하부 내부 도어(224)는 닫힌 상태인, EFEM(114)에 결합된 측면 저장 포드(144)의 다른 실시예의 등각도를 예시하는 도 7이 참조된다. 상부 내부 도어(222)에 대해 참조가 이루어지는데, 이는 하부 내부 도어(224)와 동일할 수 있다. 상부 내부 도어(222)는 사용자가 상부 내부 도어(222)를 잡고 이를 패널(216) 내외로 이동시킬 수 있게 하는 손잡이(700) 및 개구(702)를 포함할 수 있다.
[0076] 손잡이(700)는 특정 연동 조건들이 충족하지 않는 한, 상부 내부 도어(222)가 패널(216)로부터 제거되는 것을 방지하도록 상부 래치(716)를 수용하는 리세스(710)가 그 내부에 위치될 수 있다. 측면 저장 포드(144)는 하부 내부 도어(224) 상의 (도시되지 않은) 유사한 리세스 내에 수용 가능한 하부 래치(718)를 포함할 수 있다. 도 7에 도시된 하부 래치(718)는 하부 내부 도어(224)의 리세스 내에 수용되어, 하부 내부 도어는 패널(216)로부터 제거되는 것이 방지되고 닫힌 상태로 잠겨진다. 상부 래치(716)는 리세스(710) 내에 수용되지 않으므로, 상부 내부 도어(222)는 열린 상태에 있고 패널(216)로부터 제거될 수 있다. 상부 내부 도어(222)의 제거시, 포트(719)는 도어 밀봉부(410)와 도어 가이드(412)(도 4a)에 의해 재밀봉된다. 마찬가지로, 닫힌 상태에서, 하부 내부 도어(224)를 수용하는 포트(721)는 도어 밀봉부(410) 및 도어 가이드(412)(도 4a)의 동작에 의해 밀봉된다.
[0077] 본 명세서에서 설명되는 전자 디바이스 처리 시스템(100)은 처리의 유휴 기간들 동안 저장될 기판들(335)에 대한 개선된 버퍼 스테이션을 제공한다. 구체적으로, 이러한 유휴 기간들 동안 기판들(335)은 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312) 내에 배치될 수 있다. 기판들(335)이 저장되는 동안, 이들은 제어기(106)에 의해 제어되는 EFEM(114)의 환경 조건들에 지속적으로 노출된다. 상부 측면 저장 용기(310) 및 하부 측면 저장 용기(312)의 내부들로 들어가는 EFEM(114)으로부터의 가스는 배기되며 EFEM(114)으로 반환되지 않을 수 있다. 이에 따라, 기판들(335)이 임의의 오염물들을 방출한다면, 이러한 오염물들은 EFEM(114)으로 반환되지 않는다.
[0078] 측면 저장 포드(144)는 EFEM(114) 또는 전자 디바이스 처리 시스템(100)의 계속되는 동작을 불가능하게 하지 않고 상부 측면 저장 용기(310) 또는 하부 측면 저장 용기(312) 중 어느 하나 또는 둘 다가 제거될 수 있게 한다. 예를 들어, 상부 내부 도어(222)는 패널(216)에 배치되고 상부 래치(716)에 의해 유지될 수 있다. 따라서 상부 측면 저장 용기(310) 및 상부 챔버(302)는 EFEM 챔버(114C)로부터 밀봉된다. 그 다음, EFEM(114)의 동작을 방해하지 않으면서 상부 측면 저장 용기(310)가 제거될 수 있다.
[0079] 전술한 설명은 본 개시내용의 예시적인 실시예들을 개시한다. 본 개시내용의 범위 내에 속하는, 위에 개시된 장치, 시스템들 및 방법들의 수정들은 당해 기술분야에서 통상의 지식을 가진 자들에게 쉽게 명백할 것이다. 이에 따라, 본 개시내용은 예시적인 실시예들과 관련하여 개시되었지만, 청구항들로 정의되는 본 개시내용의 범위 내에 다른 실시예들이 속할 수 있다고 이해되어야 한다.

Claims (15)

  1. 측면 저장 포드(side storage pod)로서,
    측면 저장 용기를 수용하도록 구성된 제1 챔버;
    패널 제1 측면, 패널 제2 측면, 및 상기 패널 제1 측면과 상기 패널 제2 측면 사이에서 연장되는 패널 개구를 갖는 패널 ― 상기 패널 제1 측면은 상기 제1 챔버에 결합되도록 구성되고, 상기 패널 개구는 상기 제1 챔버에 인접하며, 상기 패널 제2 측면은 장비 전단부 모듈에 결합되도록 구성됨 ―;
    상기 제1 챔버 내에 수용된 측면 저장 용기 ― 상기 측면 저장 용기는 기판을 지지하도록 각각 구성된 복수의 수직으로 이격된 기판 홀더들을 가짐 ―; 및
    상기 수용된 측면 저장 용기에 결합되도록 구성되며 상기 제1 챔버의 외부로 연장되는 배기 도관을 포함하는,
    측면 저장 포드.
  2. 제1 항에 있어서,
    상기 측면 저장 용기는 내부에 결합된 포드 개구를 가지며,
    상기 내부는 상기 포드 개구를 통해 하나 이상의 기판들을 수용하도록 구성되고,
    상기 측면 저장 용기는 상기 패널 제1 측면에 결합되도록 구성되며,
    상기 포드 개구는 상기 패널 개구에 인접한,
    측면 저장 포드.
  3. 제2 항에 있어서,
    상기 측면 저장 용기는, 상기 내부에 결합되고 상기 배기 도관에 결합되도록 구성되는 배기 포트를 더 포함하는,
    측면 저장 포드.
  4. 제3 항에 있어서,
    상기 배기 도관에 결합된 밸브를 더 포함하며,
    상기 밸브는 상기 내부를 통하는 가스 유동의 조절을 보조하도록 구성되는,
    측면 저장 포드.
  5. 제2 항에 있어서,
    상기 측면 저장 용기는 상기 포드 개구로부터 대향하여 상기 측면 저장 용기의 단부를 따라 연장되는 배기 덕트를 포함하고,
    상기 배기 덕트는 상기 내부에 결합되고 상기 배기 도관에 결합되는,
    측면 저장 포드.
  6. 제1 항에 있어서,
    상기 측면 저장 용기를 상부에 수용하도록 구성된 플랫폼을 더 포함하는,
    측면 저장 포드.
  7. 제6 항에 있어서,
    상기 플랫폼에 결합된 하나 이상의 플랫폼 센서들을 더 포함하며,
    상기 하나 이상의 플랫폼 센서들은 측면 저장 용기가 상기 플랫폼에 인접하게 수용되는 것에 대한 응답으로 상태를 변경하도록 구성되는,
    측면 저장 포드.
  8. 제1 항에 있어서,
    열린 상태와 닫힌 상태를 갖는 내부 도어를 더 포함하며,
    상기 내부 도어가 닫힌 상태인 경우에는 상기 패널의 패널 개구가 차단되고, 상기 내부 도어가 열린 상태인 경우에는 패널 개구가 열리는,
    측면 저장 포드.
  9. 제1 항에 있어서,
    제2 챔버를 더 포함하며,
    상기 제1 챔버와 상기 제2 챔버는 겹쳐서 수직으로 배향되는,
    측면 저장 포드.
  10. 전자 디바이스 처리 시스템으로서,
    하나 이상의 계면 개구들을 갖는 장비 전단부 모듈 챔버를 포함하는 장비 전단부 모듈;
    하나 이상의 챔버들을 갖는 측면 저장 포드를 포함하며,
    상기 하나 이상의 챔버들 각각은 측면 저장 용기를 수용하도록 구성되고 상기 하나 이상의 챔버들 각각은,
    상기 하나 이상의 계면 개구들 중 한 계면 개구에 인접하게 위치된 패널 개구;
    상기 계면 개구 및 상기 패널 개구를 통한 가스 유동이 가능해지는 열린 상태 및 상기 계면 개구 및 상기 패널 개구를 통한 가스 유동이 불가능해지는 닫힌 상태를 갖는 내부 도어; 및
    상기 하나 이상의 챔버들에 수용된 측면 저장 용기에 결합되도록 구성된 배기 도관을 포함하고,
    상기 측면 저장 용기는 하나 이상의 기판들을 수용하도록 구성되는,
    전자 디바이스 처리 시스템.
  11. 제10 항에 있어서,
    상기 하나 이상의 챔버들은 2개 이상의 수직으로 배향된 챔버들을 포함하는,
    전자 디바이스 처리 시스템.
  12. 제11 항에 있어서,
    상기 장비 전단부 모듈 챔버 내에 로드/언로드 로봇을 더 포함하며,
    상기 로드/언로드 로봇은 상기 하나 이상의 챔버들 중 상부 챔버에 수용되는 상부 측면 저장 용기 및 상기 하나 이상의 챔버들 중 하부 챔버에 수용되는 하부 측면 저장 용기 내에 저장된 기판들에 액세스하도록 구성되는,
    전자 디바이스 처리 시스템.
  13. 제10 항에 있어서,
    상기 하나 이상의 챔버들 중 하나에 수용된 측면 저장 용기를 더 포함하며,
    상기 측면 저장 용기는, 상기 패널 개구와 정렬되는 포드 개구, 및 상기 측면 저장 용기에 저장된 기판들에 걸쳐 상기 포드 개구를 통해 가스를 배기시키도록 구성되며, 상기 내부 도어가 열린 상태인 경우에 상기 측면 저장 용기의 배기 덕트로부터 배기되는 배기 포트를 포함하는,
    전자 디바이스 처리 시스템.
  14. 제13 항에 있어서,
    상기 측면 저장 용기는 상기 기판들을 유지하도록 구성된 하나 이상의 수직으로 적층된 기판 홀더들을 더 포함하고,
    상기 배기 덕트는 상기 수직으로 적층된 기판 홀더들 중 최상부 기판 홀더와 바닥 기판 홀더 사이로 연장되는,
    전자 디바이스 처리 시스템.
  15. 측면 저장 용기로서,
    하나 이상의 기판들을 수용하도록 구성된 내부;
    포드 개구 ― 상기 포드 개구를 통해 상기 하나 이상의 기판들이 상기 내부에 수용 가능함 ―;
    상기 내부에 결합된 배기 덕트;
    상기 배기 덕트에 결합된 배기 포트를 포함하며,
    상기 포드 개구는 상기 측면 저장 용기에 결합된 패널에서 패널 개구와 정렬되도록 구성되고,
    내부 도어가 상기 패널 개구에 수용 가능한,
    측면 저장 용기.
KR1020227003689A 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들 KR102491127B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237001788A KR102599502B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/632,074 2017-06-23
US15/632,074 US10388547B2 (en) 2017-06-23 2017-06-23 Side storage pods, equipment front end modules, and methods for processing substrates
PCT/US2018/034635 WO2018236546A1 (en) 2017-06-23 2018-05-25 LATERAL STORAGE CAPSULES, PRE-EQUIPMENT END MODULES, AND METHODS OF PROCESSING SUBSTRATES
KR1020207002119A KR102360024B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207002119A Division KR102360024B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237001788A Division KR102599502B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Publications (2)

Publication Number Publication Date
KR20220019078A true KR20220019078A (ko) 2022-02-15
KR102491127B1 KR102491127B1 (ko) 2023-01-19

Family

ID=64693432

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020207002119A KR102360024B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들
KR1020237001788A KR102599502B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들
KR1020227003689A KR102491127B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020207002119A KR102360024B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들
KR1020237001788A KR102599502B1 (ko) 2017-06-23 2018-05-25 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들

Country Status (6)

Country Link
US (4) US10388547B2 (ko)
JP (3) JP7267210B2 (ko)
KR (3) KR102360024B1 (ko)
CN (3) CN116469814A (ko)
TW (4) TWI673585B (ko)
WO (1) WO2018236546A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220120714A (ko) 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR20210080633A (ko) * 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
US11171028B2 (en) 2017-06-23 2021-11-09 Applied Materials, Inc. Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
KR102510897B1 (ko) * 2017-07-10 2023-03-16 도쿄엘렉트론가부시키가이샤 기판 반송 장치 및 기판 반송 방법
CN109326546A (zh) * 2017-07-31 2019-02-12 富士迈半导体精密工业(上海)有限公司 用于晶圆盒的气体填充装置及气体填充系统
US11508593B2 (en) * 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11139190B2 (en) * 2019-04-23 2021-10-05 Applied Materials, Inc. Equipment front end modules including multiple aligners, assemblies, and methods
CN111952139B (zh) * 2019-05-16 2023-11-14 北京北方华创微电子装备有限公司 半导体制造设备及半导体制造方法
KR102154475B1 (ko) * 2020-04-06 2020-09-10 (주) 예스티 반도체 부품용 듀얼 챔버 장치
US20210398824A1 (en) * 2020-06-19 2021-12-23 Applied Materials, Inc. Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
KR102389879B1 (ko) * 2020-06-29 2022-04-22 송춘기 질소 누출 방지 기능을 구비한 이에프이엠 시스템
US11355368B2 (en) * 2020-07-13 2022-06-07 Applied Materials, Inc. Decentralized substrate handling and processing system
CN112349635A (zh) * 2020-10-23 2021-02-09 杭州长川科技股份有限公司 晶圆与清针片存放装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010033584A (ko) * 1997-12-24 2001-04-25 더글라스 제이. 맥큐천 Smif 포드 도어 및 포트 도어 분리 및 복귀 시스템
JP2009087972A (ja) * 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
KR20140057862A (ko) * 2012-11-05 2014-05-14 우범제 밀폐형 도어장치와 흄 제거장치를 갖춘 사이드 스토레이지
KR20150087015A (ko) * 2014-01-21 2015-07-29 우범제 퓸 제거 장치
KR200483073Y1 (ko) * 2016-07-15 2017-04-11 오션브릿지 주식회사 웨이퍼 스토리지용 퓸 제거 장치
KR101756743B1 (ko) * 2016-12-30 2017-07-12 김태훈 웨이퍼 가공 설비용 버퍼 챔버 유닛

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970018335A (ko) * 1995-09-28 1997-04-30 김광호 반도체 제조용 로더 스테이션의 카세트 안착불량 검출장치
JP3167970B2 (ja) 1997-10-13 2001-05-21 ティーディーケイ株式会社 クリーンボックス、クリーン搬送方法及び装置
JPH11154699A (ja) * 1997-11-21 1999-06-08 Shin Etsu Polymer Co Ltd 容器の封止構造
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
KR20030006683A (ko) * 2001-07-14 2003-01-23 주식회사 신성이엔지 웨이퍼이송용기 오프너의 스테이지구동장치
JP2003092345A (ja) * 2001-07-13 2003-03-28 Semiconductor Leading Edge Technologies Inc 基板収納容器、基板搬送システム、保管装置及びガス置換方法
JP3880343B2 (ja) 2001-08-01 2007-02-14 株式会社ルネサステクノロジ ロードポート、基板処理装置および雰囲気置換方法
KR20030037579A (ko) * 2001-11-06 2003-05-14 삼성전자주식회사 캐리어 스테이지
US6955197B2 (en) 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
JP3964361B2 (ja) 2003-07-11 2007-08-22 Tdk株式会社 パージ装置およびパージ方法
JP4027837B2 (ja) 2003-04-28 2007-12-26 Tdk株式会社 パージ装置およびパージ方法
US7682454B2 (en) * 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20060045663A1 (en) * 2004-08-05 2006-03-02 Ravinder Aggarwal Load port with manual FOUP door opening mechanism
US20080236487A1 (en) 2004-09-15 2008-10-02 Hitachi Kokusai Electric Inc., Semiconductor Manufacturing Apparatus And Semiconductor Device Manufacturing Method
JP2006261608A (ja) * 2005-03-18 2006-09-28 Canon Inc デバイス製造装置及び制御方法
FR2901546B1 (fr) * 2006-05-24 2010-10-15 Cit Alcatel Procede et dispositif de depollution d'environnement confine
JP4904995B2 (ja) * 2006-08-28 2012-03-28 シンフォニアテクノロジー株式会社 ロードポート装置
JP4816545B2 (ja) * 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI475627B (zh) 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
JP5338335B2 (ja) * 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
TWI341816B (en) 2008-08-14 2011-05-11 Gudeng Prec Industral Co Ltd A wafer container having the latch and inflatable seal element
US8784033B2 (en) 2009-01-11 2014-07-22 Applied Materials, Inc. Robot systems, apparatus and methods for transporting substrates
US20100182586A1 (en) * 2009-01-19 2010-07-22 Canon Kabushiki Kaisha Lithography apparatus, and method of manufacturing device using same
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
JP5617708B2 (ja) 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP2012204645A (ja) 2011-03-25 2012-10-22 Tokyo Electron Ltd 蓋体開閉装置
JP6003011B2 (ja) 2011-03-31 2016-10-05 東京エレクトロン株式会社 基板処理装置
KR101329304B1 (ko) * 2011-07-29 2013-11-14 세메스 주식회사 기판처리장치 및 기판처리방법
KR101271246B1 (ko) 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
KR20140023807A (ko) * 2012-08-17 2014-02-27 삼성전자주식회사 반도체 소자를 제조하는 설비
TW201413780A (zh) * 2012-09-24 2014-04-01 Eugene Technology Co Ltd 煙氣移除設備及基板處理設備
KR101444241B1 (ko) 2013-01-14 2014-09-26 우범제 웨이퍼 처리장치의 배기시스템
KR20220120714A (ko) 2013-08-12 2022-08-30 어플라이드 머티어리얼스, 인코포레이티드 팩토리 인터페이스 환경 제어들을 갖는 기판 프로세싱 시스템들, 장치, 및 방법들
KR101682473B1 (ko) 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
TWI635552B (zh) * 2013-12-13 2018-09-11 昕芙旎雅股份有限公司 設備前端模組(efem)
US10005945B2 (en) 2013-12-26 2018-06-26 The Nippon Synthetic Chemical Industry Co.. Ltd. Drilling fluid adjusting agent and drilling fluid using the same
KR102173486B1 (ko) * 2014-01-09 2020-11-03 주식회사 원익아이피에스 웨이퍼 처리장치
KR101611514B1 (ko) * 2014-01-22 2016-04-11 우범제 퓸 제거 장치
JP6291878B2 (ja) * 2014-01-31 2018-03-14 シンフォニアテクノロジー株式会社 ロードポート及びefem
CN105940487B (zh) * 2014-02-07 2018-12-04 村田机械株式会社 净化装置以及净化方法
US10325794B2 (en) * 2014-04-28 2019-06-18 Murata Machinery, Ltd. Purge device and purge method
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP6686014B2 (ja) 2014-10-24 2020-04-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ファクトリインターフェースで基板キャリアをパージするシステム、装置及び方法
US9881826B2 (en) 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
KR20210080633A (ko) 2014-11-25 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 및 퍼지 챔버 환경 제어들을 이용하는 기판 프로세싱 시스템들, 장치, 및 방법들
KR101637498B1 (ko) * 2015-03-24 2016-07-07 피코앤테라(주) 웨이퍼 수납용기
JP6582676B2 (ja) * 2015-07-24 2019-10-02 東京エレクトロン株式会社 ロードロック装置、及び基板処理システム
KR101758214B1 (ko) 2015-09-25 2017-07-14 주식회사 싸이맥스 웨이퍼 처리장치의 배기장치
JP6679906B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 Efem
JP6613864B2 (ja) * 2015-12-14 2019-12-04 Tdk株式会社 ミニエンバイロメント装置
KR20160114547A (ko) * 2016-06-27 2016-10-05 피코앤테라(주) 웨이퍼 수납용기
KR20180045316A (ko) * 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10159169B2 (en) 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
US10541165B2 (en) 2016-11-10 2020-01-21 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port backplane
US10453727B2 (en) 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
US10262884B2 (en) 2016-11-10 2019-04-16 Applied Materials, Inc. Systems, apparatus, and methods for an improved load port
US10453726B2 (en) 2016-11-10 2019-10-22 Applied Materials, Inc. Electronic device manufacturing load port apparatus, systems, and methods
JP6269788B2 (ja) 2016-11-22 2018-01-31 シンフォニアテクノロジー株式会社 ロードポート
US10741432B2 (en) 2017-02-06 2020-08-11 Applied Materials, Inc. Systems, apparatus, and methods for a load port door opener
CN106684023A (zh) * 2017-03-14 2017-05-17 大族激光科技产业集团股份有限公司上海分公司 全封闭式smif系统
US10446428B2 (en) 2017-03-14 2019-10-15 Applied Materials, Inc. Load port operation in electronic device manufacturing apparatus, systems, and methods
US11171028B2 (en) 2017-06-23 2021-11-09 Applied Materials, Inc. Indexable side storage pod apparatus, heated side storage pod apparatus, systems, and methods
US10388547B2 (en) 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010033584A (ko) * 1997-12-24 2001-04-25 더글라스 제이. 맥큐천 Smif 포드 도어 및 포트 도어 분리 및 복귀 시스템
JP2009087972A (ja) * 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
KR20140057862A (ko) * 2012-11-05 2014-05-14 우범제 밀폐형 도어장치와 흄 제거장치를 갖춘 사이드 스토레이지
KR20150087015A (ko) * 2014-01-21 2015-07-29 우범제 퓸 제거 장치
KR200483073Y1 (ko) * 2016-07-15 2017-04-11 오션브릿지 주식회사 웨이퍼 스토리지용 퓸 제거 장치
KR101756743B1 (ko) * 2016-12-30 2017-07-12 김태훈 웨이퍼 가공 설비용 버퍼 챔버 유닛

Also Published As

Publication number Publication date
US20190267266A1 (en) 2019-08-29
KR102360024B1 (ko) 2022-02-08
CN116469814A (zh) 2023-07-21
JP2022095763A (ja) 2022-06-28
TWI673585B (zh) 2019-10-01
TW201908897A (zh) 2019-03-01
TWI757936B (zh) 2022-03-11
TWI711909B (zh) 2020-12-01
KR102599502B1 (ko) 2023-11-06
JP2024041810A (ja) 2024-03-27
JP7413428B2 (ja) 2024-01-15
US11621182B2 (en) 2023-04-04
KR20200010616A (ko) 2020-01-30
US20180374725A1 (en) 2018-12-27
CN116544155A (zh) 2023-08-04
KR102491127B1 (ko) 2023-01-19
US20230207354A1 (en) 2023-06-29
US10847390B2 (en) 2020-11-24
JP2020524901A (ja) 2020-08-20
TWI779998B (zh) 2022-10-01
TW202121089A (zh) 2021-06-01
TW202219673A (zh) 2022-05-16
CN110809820B (zh) 2023-04-18
TW201945875A (zh) 2019-12-01
WO2018236546A1 (en) 2018-12-27
US10388547B2 (en) 2019-08-20
KR20230012668A (ko) 2023-01-26
CN110809820A (zh) 2020-02-18
JP7267210B2 (ja) 2023-05-01
US20210043479A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
KR102360024B1 (ko) 측면 저장 포드들, 장비 전단부 모듈들, 및 기판들을 처리하기 위한 방법들
KR102360219B1 (ko) 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
KR102592920B1 (ko) 로드락 모듈 및 이를 포함하는 반도체 제조 장치
KR20210066937A (ko) 측면 저장 포드들, 장비 전단부 모듈들, 및 이를 동작시키기 위한 방법들
KR20230024415A (ko) 배치 웨이퍼 탈기 챔버, 및 팩토리 인터페이스 및 진공 기반 메인프레임으로의 통합

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant