KR20220002440A - 3차원 nand를 위한 비트 라인 드라이버들의 격리를 위한 구조 및 방법 - Google Patents

3차원 nand를 위한 비트 라인 드라이버들의 격리를 위한 구조 및 방법 Download PDF

Info

Publication number
KR20220002440A
KR20220002440A KR1020217038182A KR20217038182A KR20220002440A KR 20220002440 A KR20220002440 A KR 20220002440A KR 1020217038182 A KR1020217038182 A KR 1020217038182A KR 20217038182 A KR20217038182 A KR 20217038182A KR 20220002440 A KR20220002440 A KR 20220002440A
Authority
KR
South Korea
Prior art keywords
substrate
layer
memory
peripheral
forming
Prior art date
Application number
KR1020217038182A
Other languages
English (en)
Inventor
량 천
웨이 류
청 간
Original Assignee
양쯔 메모리 테크놀로지스 씨오., 엘티디.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 양쯔 메모리 테크놀로지스 씨오., 엘티디. filed Critical 양쯔 메모리 테크놀로지스 씨오., 엘티디.
Publication of KR20220002440A publication Critical patent/KR20220002440A/ko

Links

Images

Classifications

    • H01L27/11573
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/40EEPROM devices comprising charge-trapping gate insulators characterised by the peripheral circuit region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/50Tape automated bonding [TAB] connectors, i.e. film carriers; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/89Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using at least one connector not provided for in any of the groups H01L24/81 - H01L24/86
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • H01L27/1157
    • H01L27/11575
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/2003Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy characterised by the substrate
    • H01L21/2007Bonding of semiconductor wafers to insulating substrates or to semiconducting substrates using an intermediate insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08147Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area disposed in a recess of the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8001Cleaning the bonding area, e.g. oxide removal step, desmearing
    • H01L2224/80013Plasma cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80054Composition of the atmosphere
    • H01L2224/80075Composition of the atmosphere being inert
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/8009Vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80053Bonding environment
    • H01L2224/80095Temperature settings
    • H01L2224/80096Transient conditions
    • H01L2224/80097Heating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80801Soldering or alloying
    • H01L2224/8082Diffusion bonding
    • H01L2224/8083Solid-solid interdiffusion
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/145Read-only memory [ROM]
    • H01L2924/1451EPROM
    • H01L2924/14511EEPROM

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Element Separation (AREA)

Abstract

3차원(3D) 메모리 디바이스 및 제조 방법들의 실시예들이 개시된다. 일부 실시예들에서, 3D 메모리 디바이스는 제1 기판 상에 형성된 주변 회로를 포함한다. 주변 회로는 제1 기판의 제1 측면 상의 복수의 주변 디바이스들, 제1 상호접속 층, 및 제1 기판의 제2 측면 상의 깊은 트렌치 격리부를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 깊은 트렌치 격리부는 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성된다. 3D 메모리 디바이스는 또한 제2 기판 상에 형성된 메모리 어레이를 포함한다. 메모리 어레이는 적어도 하나의 메모리 셀 및 제2 상호접속 층을 포함하고, 메모리 어레이의 제2 상호접속 층은 주변 회로의 제1 상호접속 층과 본딩되고, 주변 디바이스들은 메모리 셀들과 전기적으로 연결된다.

Description

3차원 NAND를 위한 비트 라인 드라이버들의 격리를 위한 구조 및 방법
본 개시내용은 일반적으로 반도체 기술 분야에 관한 것으로, 더 구체적으로는 3차원(3D) 메모리를 형성하기 위한 방법에 관한 것이다.
메모리 디바이스들이 제조 비용을 감소시키고 저장 밀도를 증가시키기 위해 더 작은 다이 크기로 축소됨에 따라, 평면 메모리 셀들의 스케일링은 공정 기술 제한들 및 신뢰성 문제들로 인해 어려움들에 직면한다. 3차원(3D) 메모리 아키텍처는 평면 메모리 셀들에서의 밀도 및 성능 제한을 해결할 수 있다.
3D 메모리에서, 페이지 버퍼의 비트-라인 드라이버들과 같은 일부 주변 회로들은 저장 기능들, 예를 들어, 메모리 셀들을 소거하고 프로그래밍하는 것을 지원하기 위해 고전압을 사용한다. 그러나, 3D 메모리의 치수들이 점점 더 작아짐에 따라, 주변 디바이스들, 예를 들어 비트-라인 드라이버들 사이의 격리부가 복잡해진다. 3D 메모리가 높은 밀도 및 높은 성능을 달성하기 위해 원하는 특성들(예를 들어, 낮은 누설 전류 및 높은 항복 전압)을 제공할 수 있는 격리부에 대한 필요성이 존재한다.
3차원(3D) 메모리 디바이스 및 그 형성 방법의 실시예들이 본 개시내용에서 설명된다.
본 개시내용의 일 양태는 제1 기판 상에 형성된 주변 회로를 포함하는 3D 메모리 디바이스를 형성하기 위한 방법을 제공한다. 주변 회로는 제1 기판의 제1 측면 상의 복수의 주변 디바이스들, 복수의 주변 디바이스들 상에 배치된 제1 상호접속 층, 및 제1 기판의 제2 측면 상의 깊은 트렌치 격리부를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 깊은 트렌치 격리부는 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성된다. 3D 메모리 디바이스는 또한 제2 기판 상에 형성된 메모리 어레이를 포함한다. 메모리 어레이는 적어도 하나의 메모리 셀, 및 적어도 하나의 메모리 셀 상에 배치된 제2 상호접속 층을 포함하고, 메모리 어레이의 제2 상호접속 층은 주변 회로의 제1 상호접속 층과 본딩되고, 복수의 주변 디바이스 중 적어도 하나는 적어도 하나의 메모리 셀과 전기적으로 연결된다.
일부 실시예들에서, 깊은 트렌치 격리부는 제2 측면으로부터 제1 기판을 통해 연장하고 제1 기판의 제1 측면 상의 얕은 트렌치 격리부와 접촉한다.
일부 실시예들에서, 깊은 트렌치 격리부는 제2 측면으로부터 제1 기판을 통해 연장되고, 제1 기판의 제1 측면 상의 제1 상호접속 층과 접촉한다.
일부 실시예들에서, 깊은 트렌치 격리부는 트렌치 절연 층을 포함하고, 트렌치 절연 층은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함한다.
일부 실시예에서, 3D 메모리 디바이스는 또한 제1 기판의 제2 측면 상의 유전체 캡핑 층을 포함하고, 유전체 캡핑 층 및 깊은 트렌치 격리부는 동일 평면이다.
본 개시내용의 다른 양태는 3D 메모리 디바이스를 형성하기 위한 방법을 제공하고, 이는 제1 기판의 제1 측면 상에, 복수의 주변 디바이스들 및 제1 상호접속 층을 갖는 주변 회로를 형성하는 단계를 포함한다. 방법은 또한 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 갖는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 주변 회로의 제1 상호접속 층을 메모리 어레이의 제2 상호접속 층과 본딩하여, 주변 회로의 주변 디바이스들 중 적어도 하나가 메모리 어레이의 메모리 셀 중 적어도 하나와 전기적으로 연결된다. 방법은 또한 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 하나 이상의 깊은 트렌치 격리부들은 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성된다.
일부 실시예들에서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는 제1 기판의 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계, 및 하나 이상의 트렌치들 내부에 트렌치 절연 층을 배치하는 단계를 포함한다. 일부 실시예들에서, 제1 기판의 제2 측면 상에 하나 이상의 트렌치를 형성하는 단계는 제1 기판을 통해 에칭하고 제1 상호접속 층의 일부를 노출시키는 단계를 포함한다. 일부 실시예들에서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는 하나 이상의 트렌치들 외부에 위치되는 트렌치 절연 층의 일부를 제거하는 단계를 또한 포함한다. 일부 실시예들에서, 트렌치 절연 층의 일부를 제거하는 단계는 화학 기계적 연마를 포함한다.
일부 실시예들에서, 3D 메모리 디바이스를 형성하기 위한 방법은 또한 제1 및 제2 상호접속 층들을 본딩한 후에 제2 측면으로부터 제1 기판을 박형화하는 단계를 포함한다.
일부 실시예들에서, 3D 메모리 디바이스를 형성하는 방법은, 하나 이상의 트렌치들을 형성하기 전에, 제1 기판의 제2 측면 상에 유전체 캡핑 층을 배치하는 단계를 더 포함한다.
일부 실시예들에서, 주변 회로의 제1 상호접속 층과 메모리 어레이의 제2 상호접속 층의 본딩은 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함한다.
일부 실시예들에서, 3차원(3D) 메모리 디바이스를 형성하기 위한 방법은, 제1 기판의 제1 측면 상에, 복수의 주변 디바이스들, 제1 상호접속 층, 및 하나 이상의 얕은 트렌치 격리부들을 갖는 주변 회로를 형성하는 단계를 포함한다. 방법은 또한 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 갖는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 주변 회로의 제1 상호접속 층을 메모리 어레이의 제2 상호접속 층과 본딩하여, 주변 회로의 주변 디바이스들 중 적어도 하나가 메모리 어레이의 메모리 셀들 중 적어도 하나와 전기적으로 연결되도록 하는 단계를 더 포함한다. 방법은 또한 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 하나 이상의 깊은 트렌치 격리부들은 주변 디바이스들 중 적어도 하나에 대한 전기적 격리를 제공하도록 구성된다.
일부 실시예들에서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는 제1 기판의 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계, 및 하나 이상의 트렌치들 내부에 트렌치 절연 층을 배치하는 단계를 포함한다. 일부 실시예들에서, 제1 기판의 제2 측면 상에 하나 이상의 트렌치를 형성하는 단계는 제1 기판을 통해 에칭하고 제1 상호접속 층의 일부를 노출시키는 단계를 포함한다. 일부 실시예들에서, 제1 기판의 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계는 제1 기판을 통해 에칭하고 제1 기판의 제1 측면 상의 얕은 트렌치 격리부들 중 적어도 하나의 부분을 노출시키는 단계를 포함한다. 일부 실시예들에서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는 평탄화 공정에 의해 하나 이상의 트렌치들 외부에 위치되는 트렌치 절연 층의 일부를 제거하는 단계를 또한 포함한다. 일부 실시예들에서, 평탄화 공정은 화학 기계적 연마를 포함한다.
일부 실시예들에서, 주변 회로의 제1 상호접속 층과 메모리 어레이의 제2 상호접속 층의 본딩은 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함한다.
본 기술분야의 통상의 기술자들은 본 개시내용의 설명, 청구항들, 및 도면들을 고려하여 본 개시내용의 다른 양태들을 이해할 수 있다.
본 명세서에 포함되고 본 명세서의 일부를 형성하는 첨부 도면들은 본 개시내용의 실시예들을 예시하고, 추가로 설명과 함께, 본 개시내용의 원리들을 설명하고 관련 기술분야의 통상의 기술자가 본 개시내용을 제조하고 사용할 수 있게 하는 역할을 한다.
도 1은 본 개시내용의 일부 실시예에 따른 예시적인 3차원(3D) 메모리 다이의 개략적인 평면도를 예시한다.
도 2는 본 개시내용의 일부 실시예에 따른 3D 메모리 다이의 영역의 개략적인 평면도를 예시한다.
도 3은 본 개시내용의 일부 실시예들에 따른 예시적인 3D 메모리 어레이 구조의 일부의 사시도를 예시한다.
도 4a는 본 개시내용의 일부 실시예에 따른 주변 회로의 단면도를 예시한다.
도 4b 내지 도 4c는 본 개시내용의 일부 실시예들에 따른, 주변 회로 내의 영역의 평면도 및 단면도를 예시한다.
도 5는 본 개시내용의 일부 실시예들에 따른 메모리 어레이의 단면도를 예시한다.
도 6은 본 개시내용의 일부 실시예들에 따른, 주변 회로와 메모리 어레이를 본딩한 후의 3D 메모리 디바이스의 단면도를 예시한다.
도 7a 및 도 7b는 본 개시내용의 일부 실시예들에 따른, 특정 공정 단계에서의 3D 메모리 디바이스의 단면도들을 예시한다.
도 8a 및 도 8b는 본 개시내용의 일부 실시예들에 따른, 트렌치들을 갖는 3D 메모리 디바이스의 평면도 및 단면도를 예시한다.
도 9a 및 도 9b는 본 개시내용의 일부 실시예들에 따른, 배면 깊은 트렌치 격리부들을 갖는 3D 메모리 디바이스의 평면도 및 단면도를 예시한다.
도 10a 및 도 10b는 본 개시내용의 일부 실시예들에 따른, 주변 회로 내의 영역의 평면도 및 단면도를 예시한다.
도 10c 및 도 10d는 본 개시내용의 일부 실시예들에 따른, 주변 회로 내의 영역의 평면도 및 단면도를 예시한다.
도 11a 및 도 11b는 본 개시내용의 일부 실시예들에 따른, 트렌치들을 갖는 3D 메모리 디바이스의 평면도 및 단면도를 예시한다.
도 12a 및 도 12b는 본 개시내용의 일부 실시예들에 따른, 배면 깊은 트렌치 격리부들을 갖는 3D 메모리 디바이스의 평면도 및 단면도를 예시한다.
도 13은 본 개시내용의 일부 실시예들에 따른, 3D 커패시터를 갖는 메모리 디바이스를 형성하기 위한 예시적인 방법의 흐름도를 예시한다.
본 발명의 특징들 및 장점들은 도면들과 관련하여 취해질 때 후술하는 상세한 설명으로부터 더 명백해질 것이며, 도면들 전반에서 유사한 참조 문자들은 대응하는 요소들을 식별한다. 도면들에서, 유사한 참조 번호들은 일반적으로 동일한, 기능적으로 유사한, 및/또는 구조적으로 유사한 요소들을 나타낸다. 요소가 처음 나타나는 도면은 대응하는 참조 번호에서 가장 왼쪽 숫자(들)로 표시된다.
본 개시내용의 실시예들은 첨부 도면들을 참조하여 설명될 것이다.
특정 구성들 및 배열들이 논의되지만, 이는 단지 예시의 목적으로 이루어진다는 것을 이해해야 한다. 관련 기술분야의 통상의 기술자는 본 개시내용의 사상 및 범위를 벗어나지 않고 다른 구성들 및 배열들이 사용될 수 있다는 것을 인식할 것이다. 관련 기술분야의 통상의 기술자는 본 개시내용이 또한 다양한 다른 응용들에서 채용될 수 있다는 것을 명백히 알 수 있을 것이다.
본 명세서에서 "하나의 실시예", "실시예", "예시적인 실시예", "일부 실시예들" 등에 대한 언급들은 설명되는 실시예가 특정 특징, 구조 또는 특성을 포함할 수 있지만, 모든 실시예가 반드시 특정 특징, 구조 또는 특성을 포함할 필요는 없다는 것을 나타낸다는 점에 유의한다. 또한, 이러한 문구들이 반드시 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징, 구조 또는 특성이 일 실시예와 관련하여 설명될 때, 명시적으로 설명되어 있든 그렇지 않든 간에 관련 기술분야의 통상의 기술자의 지식 범위 내에서 다른 실시예들과 관련하여 이러한 특징, 구조 또는 특성을 적용할 수 있을 것이다.
일반적으로, 용어는 적어도 부분적으로 맥락에 따른 용법으로부터 이해될 수 있다. 예를 들어, 본 명세서에서 사용되는 바와 같은 "하나 이상"이라는 용어는 적어도 부분적으로 상황에 따라 단수의 의미의 임의의 특징, 구조 또는 특성을 설명하는 데 사용될 수 있거나, 복수의 의미의 특징들, 구조들 또는 특성들의 조합들을 설명하는 데 사용될 수 있다. 유사하게, 관사("a", "an" 또는 "the") 같은 용어는, 역시, 적어도 부분적으로 맥락에 따라, 단수 용법을 전달하거나 복수 용법을 전달하는 것으로 이해될 수 있다. 또한, "기초하여"이라는 용어는 반드시 배타적인 인자들의 집합을 전달하는 것을 의도하지는 않는 것으로 이해될 수 있으며, 대신에 적어도 부분적으로는 맥락에 따라 역시 반드시 명확히 설명되지는 않는 추가적인 인자들의 존재를 허용할 수 있다.
본 개시내용에서 "상에(on)", "위에(above)", 및 "위쪽에(over)"의 의미는 "상에(on)"가 무언가의 "바로 위에(directly on)"를 의미할 뿐만 아니라, 그 사이에 중간 특징 또는 층을 갖고 무언가의 "상에(on)" 있는 것의 의미를 포함하도록 가장 넓은 방식으로 해석되어야 한다는 점을 당연히 이해하여야 한다. 또한, "위에(above)" 또는 "위쪽에(over)"는 "위에(above)" 또는 "위쪽에(over)" 있는 무언가를 의미할 뿐만 아니라, 사이에 중간 특징 또는 층이 없는(즉, 무언가의 바로 위의) 무언가의 "위에(above)" 또는 "위쪽에(over)"의 의미를 또한 포함할 수 있다.
또한, "아래에(beneath)", "아래쪽에(below)", "하부(lower)", "위에(above)", "상부(upper)" 등과 같은 공간적으로 상대적인 용어들이 도면들에 예시된 바와 같은 다른 요소(들) 또는 특징(들)에 대한 하나의 요소 또는 특징의 관계를 설명하기 위해 설명의 용이함을 위해 본 명세서에서 사용될 수 있다. 공간적으로 상대적인 용어들은 도면들에 도시된 배향에 더하여 사용 또는 공정 단계에서의 디바이스의 상이한 배향들을 포함하도록 의도된다. 장치는 (90도 회전되거나 다른 배향들로) 달리 배향될 수 있고, 본 명세서에서 사용되는 공간적으로 상대적인 기술어들은 마찬가지로 그에 따라 해석될 수 있다.
본 명세서에서 사용될 때, 용어 "기판"은 후속 재료 층들이 그 위에 추가되는 재료를 지칭한다. 기판은 "상단" 표면 및 "하단" 표면을 포함한다. 기판의 상단 표면은 통상적으로 반도체 디바이스가 형성되는 곳이고, 따라서, 반도체 디바이스는 달리 언급되지 않는 한 기판의 상단 측면에 형성된다. 하단 표면은 상단 표면에 대향하고, 따라서 기판의 하단 측면은 기판의 상단 측면에 대향한다. 기판 자체는 패터닝될 수 있다. 기판의 상단에 추가된 재료들은 패터닝될 수 있거나 패터닝되지 않은 채로 유지될 수 있다. 또한, 기판은 실리콘, 게르마늄, 갈륨 비소, 인듐 인화물 등과 같은 광범위한 반도체 재료를 포함할 수 있다. 대안적으로, 기판은 유리, 플라스틱, 또는 사파이어 웨이퍼와 같은 전기 비전도성 재료로 만들어질 수 있다.
본 명세서에서 사용되는 바와 같이, "층"이라는 용어는 두께를 갖는 영역을 포함하는 재료 부분을 지칭한다. 층은 상단 측면 및 하단 측면을 갖고, 층의 하단 측면은 기판에 상대적으로 가깝고 상단 측면은 기판으로부터 상대적으로 멀리 있다. 층은 하위 또는 상위 구조의 전체에 걸쳐 연장될 수 있거나, 하위 또는 상위 구조의 범위보다 작은 범위를 가질 수 있다. 또한, 층은 연속 구조의 두께보다 작은 두께를 갖는 균질 또는 비균질 연속 구조의 영역일 수 있다. 예를 들어, 층은, 연속 구조의 상단 표면과 하단 표면 사이의 또는 상단 표면과 하단 표면에 있는 임의의 세트의 수평 평면들 사이에 위치할 수 있다. 층은 수평으로, 수직으로, 및/또는 테이퍼링된 표면을 따라 연장될 수 있다. 기판은 층일 수 있고, 그 안에 하나 이상의 층을 포함할 수 있고 및/또는 그 상에, 그 위에 및/또는 그 아래에 하나 이상의 층을 가질 수 있다. 층은 다수의 층을 포함할 수 있다. 예를 들어, 상호접속 층은(접점들, 상호접속 라인들, 및/또는 VIA들(vertical interconnect accesses)이 형성되는) 하나 이상의 전도성 및 접점 층들 및 하나 이상의 유전체 층들을 포함할 수 있다.
본 개시내용에서, 설명의 용이함을 위해, "계층"은 수직 방향을 따라 실질적으로 동일한 높이의 요소들을 지칭하기 위해 사용된다. 예를 들어, 워드 라인 및 하위 게이트 유전체 층이 "계층"이라 지칭될 수 있거나, 워드 라인 및 하위 절연 층이 함께 "계층"이라 지칭될 수 있거나, "실질적으로 동일한 높이의 워드 라인들"이 "워드 라인들의 계층"으로 지칭될 수 있거나 또는 유사 방식으로 지칭되는 등등이다.
본 명세서에서 사용되는 바와 같이, "공칭/공칭적으로"라는 용어는, 원하는 값을 초과하는 값 및/또는 그 미만의 값의 범위와 함께, 제품 또는 공정의 설계 단계 동안 설정된, 컴포넌트 또는 공정 단계에 대한 특성 또는 파라미터의 원하는 값 또는 목표 값을 지칭한다. 이러한 값들의 범위는 제조 공정들 또는 허용오차들에서의 약간의 변동들로 인한 것일 수 있다. 본 명세서에서 사용될 때, 용어 "약"은 대상 반도체 디바이스와 연관된 특정 기술 노드에 기초하여 변할 수 있는 주어진 양의 값을 나타낸다. 특정 기술 노드에 기초하여, 용어 "약"은 예를 들어, 값의 10-30%(예를 들어, 값의 ±10%, ±20%, 또는 ±30%) 내에서 변화하는 주어진 양의 값을 표시할 수 있다.
본 개시내용에서, 용어 "수평/수평으로/측방향/측방향으로"는 기판의 측방향 표면에 공칭적으로 평행한 것을 의미하고, 용어 "수직" 또는 "수직으로"는 기판의 측방향 표면에 공칭적으로 수직인 것을 의미한다.
본 명세서에서 사용되는 바와 같이, "3D 메모리"라는 용어는 메모리 스트링들이 기판에 관해 수직 방향으로 연장되도록 측방향으로 배향된 기판 상에 수직으로 배향된 메모리 셀 트랜지스터들의 스트링들(본 명세서에서 "메모리 스트링들, 예컨대, NAND 스트링들"이라고 지칭됨)을 갖는 3차원(3D) 반도체 디바이스를 지칭한다.
본 개시내용에 따른 다양한 실시예들은 3D NAND 플래시 메모리 내의 고밀도 디바이스들 사이의 전기적 격리들을 위한 구조들 및 제조 방법들을 제공한다. 종래의 얕은 트렌치 격리부 대신에 또는 그에 더하여 배면 깊은 트렌치 격리부를 사용함으로써, 3D 메모리(예를 들어, 비트 라인 드라이버)의 주변 디바이스들의 성능 및 신뢰성 특성들(예를 들어, 필드 펀치스루 및 항복 전압)이 개선될 수 있다.
도 1은 본 개시내용의 일부 실시예들에 따른 예시적인 3차원(3D) 메모리 디바이스(100)의 평면도를 예시한다. 3D 메모리 디바이스(100)는 메모리 칩(패키지), 메모리 다이 또는 메모리 다이의 임의의 부분일 수 있고, 하나 이상의 메모리 평면들(101)을 포함할 수 있고, 이들 각각은 복수의 메모리 블록들(103)을 포함할 수 있다. 각각의 메모리 평면(101)에서 동일하고 동시적인 동작들이 발생할 수 있다. 크기가 메가바이트(MB)일 수 있는 메모리 블록(103)은 소거 동작들을 수행하기 위한 가장 작은 크기이다. 도 1에 도시된 바와 같이, 예시적인 3D 메모리 디바이스(100)는 4개의 메모리 평면들(101)을 포함하고, 각각의 메모리 평면(101)은 6개의 메모리 블록들(103)을 포함한다. 각각의 메모리 블록(103)은 복수의 메모리 셀들을 포함할 수 있고, 여기서, 각각의 메모리 셀은 비트 라인들 및 워드 라인들과 같은 상호접속부들을 통해 어드레싱될 수 있다. 비트 라인들 및 워드 라인들은 수직으로(예를 들어, 각각 행들 및 열들로) 레이아웃되어, 금속 라인들의 어레이를 형성할 수 있다. 비트 라인들 및 워드 라인들의 방향은 도 1에서 "BL" 및 "WL"로 표지된다. 본 개시내용에서, 메모리 블록(103)은 "메모리 어레이" 또는 "어레이"라고도 지칭된다. 메모리 어레이는 저장 기능들을 수행하는 메모리 디바이스 내의 코어 영역이다.
3D 메모리 디바이스(100)는 또한 주변 영역(105), 즉, 즉 메모리 평면들(101)을 둘러싸는 영역을 포함한다. 주변 영역(105)은 메모리 어레이의 기능들을 지원하기 위한 많은 디지털, 아날로그 및/또는 혼합 신호 회로들, 예를 들어 페이지 버퍼들, 행 및 열 디코더들 및 감지 증폭기들을 포함한다. 주변 회로들은 본 기술분야의 통상의 기술자에게 명백한 바와 같이, 트랜지스터들, 다이오드들, 커패시터들, 저항기들 등과 같은 능동 및/또는 수동 반도체 디바이스들을 사용한다.
유의할 점은, 3D 메모리 디바이스(100)에서의 메모리 평면들(101)의 배열 및 도 1에 예시된 각각의 메모리 평면(101)에서의 메모리 블록들(103)의 배열이 단지 예로서 사용되고, 이는 본 개시내용의 범위를 제한하지 않는다는 것이다.
도 2를 참조하면, 본 개시내용의 일부 실시예에 따른 도 1의 영역(108)의 확대 평면도가 예시되어 있다. 3D 메모리 디바이스(100)의 영역(108)은 계단 영역(210) 및 채널 구조 영역(211)을 포함할 수 있다. 채널 구조 영역(211)은 복수의 적층된 메모리 셀들을 각각 포함하는 메모리 스트링들(212)의 어레이를 포함할 수 있다. 계단 영역(210)은 계단 구조 및 계단 구조 상에 형성된 접점 구조들(214)의 어레이를 포함할 수 있다. 일부 실시예들에서, 채널 구조 영역(211) 및 계단 영역(210)에 걸쳐 WL 방향으로 연장되는 복수의 슬릿 구조(216)는 메모리 블록을 다수의 메모리 핑거(218)로 분할할 수 있다. 적어도 일부 슬릿 구조들(216)은 채널 구조 영역들(211) 내의 메모리 스트링들(212)의 어레이에 대한 공통 소스 접점으로서 기능할 수 있다. 상단 선택 게이트 컷(220)이 각각의 메모리 핑거(218)의 중간에 배치되어, 메모리 핑거(218)의 상단 선택 게이트(TSG)를 2개의 부분으로 분할할 수 있으며, 이에 의해 메모리 핑거를 2개의 프로그램 가능한(판독/기입) 페이지로 분할할 수 있다. 3D NAND 메모리의 소거 동작은 메모리 블록 레벨에서 수행될 수 있지만, 판독 및 기입 동작들은 메모리 페이지 레벨에서 수행될 수 있다. 페이지는 크기가 킬로바이트(KB)일 수 있다. 일부 실시예들에서, 영역(108)은 또한 제조 동안 공정 변동 제어를 위한 그리고/또는 추가적인 기계적 지지를 위한 더미 메모리 스트링들을 포함한다.
도 3은 본 개시내용의 일부 실시예들에 따른, 예시적인 3차원(3D) 메모리 어레이 구조(300)의 일부의 사시도를 예시한다. 메모리 어레이 구조(300)는 기판(330), 기판(330) 위의 절연 필름(331), 절연 필름(331) 위의 하부 선택 게이트들(LSG들)(332)의 계층, 및 LSG들(332)의 상단 상에 적층되어 교번하는 전도성 및 유전체 층들의 필름 스택(335)을 형성하는 "워드 라인들(WL들)"로서 또한 지칭되는 제어 게이트들(333)의 복수의 계층들을 포함한다. 제어 게이트들의 계층들에 인접한 유전체 층들은 명료성을 위해 도 3에 도시되지 않는다.
각각의 계층의 제어 게이트들은 필름 스택(335)을 통해 슬릿 구조들(216-1 및 216-2)에 의해 분리된다. 메모리 어레이 구조(300)는 제어 게이트들(333)의 스택 위의 상단 선택 게이트(TSG)들(334)의 계층을 또한 포함한다. TSG(334), 제어 게이트들(333) 및 LSG(332)의 스택은 "게이트 전극들"이라고도 지칭된다. 메모리 어레이 구조(300)는 인접한 LSG들(332) 사이의 기판(330)의 부분들에서 메모리 스트링들(212) 및 도핑된 소스 라인 영역들(344)을 더 포함한다. 각각의 메모리 스트링들(212)은 교번하는 전도성 및 유전체 층들의 절연 필름(331) 및 필름 스택(335)을 통해 연장되는 채널 홀(336)을 포함한다. 메모리 스트링들(212)은 채널 홀(336)의 측벽 상의 메모리 필름(337), 메모리 필름(337) 위의 채널 층(338), 및 채널 층(338)에 의해 둘러싸인 코어 충전 필름(339)을 또한 포함한다. 메모리 셀(340)은 제어 게이트(333)와 메모리 스트링(212)의 교차점에 형성될 수 있다. 메모리 어레이 구조(300)는 TSG들(334) 상에서 메모리 스트링들(212)과 접속된 복수의 비트 라인들(BL들)(341)을 더 포함한다. 메모리 어레이 구조(300)는 또한 복수의 접점 구조들(214)을 통해 게이트 전극들과 접속되는 복수의 금속 상호접속 라인들(343)을 포함한다. 필름 스택(335)의 에지는 게이트 전극들의 각각의 계층에 대한 전기적 연결을 허용하기 위해 계단 형상으로 구성된다.
도 3에서, 예시의 목적을 위해, 제어 게이트들(333-1, 333-2, 및 333-3)의 3개의 계층이 TSG(334)의 하나의 계층 및 LSG(332)의 하나의 계층과 함께 도시된다. 이 예에서, 각각의 메모리 스트링(212)은 제어 게이트들(333-1, 333-2 및 333-3)에 각각 대응하는 3개의 메모리 셀들(340-1, 340-2 및 340-3)을 포함할 수 있다. 일부 실시예들에서, 제어 게이트들의 수 및 메모리 셀들의 수는 저장 용량을 증가시키기 위해 3개를 초과할 수 있다. 메모리 어레이 구조(300)는 다른 구조들, 예를 들어, TSG 컷, 공통 소스 접점 및 더미 채널 구조를 또한 포함할 수 있다. 이러한 구조들은 단순성을 위해 도 3에 도시되지 않는다.
더 높은 저장 밀도를 달성하기 위해, 3D 메모리의 수직 WL 스택들의 수 또는 메모리 스트링 당 메모리 셀들의 수는, 예를 들어, 24개의 적층 WL 층들(즉, 24L)로부터 128개 이상의 층들로 크게 증가되었다. 3D 메모리의 크기를 더 감소시키기 위해, 메모리 어레이는 주변 회로의 상단에 적층될 수 있거나, 그 반대도 가능하다. 예를 들어, 주변 회로는 제1 웨이퍼 상에 제조될 수 있고, 메모리 어레이는 제2 웨이퍼 상에 제조될 수 있다. 이어서, 메모리 어레이 및 주변 회로는 제1 및 제2 웨이퍼들을 함께 본딩함으로써 다양한 상호접속부들을 통해 접속될 수 있다. 이와 같이, 3D 메모리 밀도가 증가될 수 있을 뿐만 아니라, 주변 회로와 메모리 어레이 사이의 통신이 더 높은 대역폭 및 더 낮은 전력 소비를 달성할 수 있는데, 왜냐하면 기판(웨이퍼) 본딩을 통해 상호접속 길이들이 더 짧을 수 있기 때문이다. 도 4a 내지 도 4c, 5-6, 7a-7b, 8a-8b, 9a-9b, 10a-10d, 11a-11b, 12a-12b 및 13은, 본 개시내용의 일부 실시예들에 따라, 주변 회로가 웨이퍼 본딩을 통해 메모리 어레이와 접속되는 3D 메모리 디바이스를 형성하기 위한 구조들 및 방법들을 예시한다.
3D 메모리 디바이스의 밀도 및 성능의 증가에 따라, 메모리 어레이에 대한 기능 지원, 예를 들어, 메모리 셀들의 데이터의 판독, 기입 및 소거를 제공하기 위해 주변 회로의 개선이 또한 필요하다. 주변 회로의 일부 디바이스들은 고전압 하에서 동작하는데, 예를 들어 페이지 버퍼의 비트 라인 드라이버들이다. 한편, 3D 메모리 디바이스의 스케일링은 주변 디바이스들의 더 작은 치수들 및 인접한 것들 사이의 더 짧은 거리들을 초래한다. 따라서, 고밀도 및/또는 고전압 주변 디바이스들에 대해 전기적 격리의 개선들이 필요하다. 도 4a 내지 도 4c, 도 5 내지 도 6, 도 7a 및 도 7b, 도 8a 및 도 8b, 도 9a 및 도 9b, 도 10a 내지 도 10d, 도 11a 및 도 11b, 도 12a 및 도 12b, 그리고 도 13은 본 개시내용의 일부 실시예들에 따라, 다양한 공정 스테이지들에서 3D 메모리 디바이스의 배면 깊은 트렌치 격리부(BDTI)를 형성하기 위한 구조들 및 방법들을 예시한다.
도 4a는 본 개시내용의 일부 실시예들에 따른 3D 메모리 디바이스의 예시적인 주변 회로(400)의 단면을 예시한다. 주변 회로(400)는 제1 기판(430)을 포함할 수 있고, 여기서 제1 기판(430)은 실리콘(예를 들어, 단결정 실리콘), 실리콘 게르마늄(SiGe), 게르마늄(Ge), 실리콘 온 인슐레이터(SOI), 게르마늄 온 인슐레이터(GOI), 갈륨 비소(GaAs), 갈륨 질화물, 실리콘 탄화물, 유리, III-V 화합물, 임의의 다른 적절한 재료들 또는 이들의 임의의 조합들을 포함할 수 있다. 일부 실시예들에서, 제1 기판(430)은 주변 디바이스 제조 이전에 양면 연마될 수 있다. 이 예에서, 제1 기판(430)은 (각각 제1 측면(430-1) 및 제2 측면(430-2), 또는 전면 및 배면이라고도 지칭되는) 상단 및 하단 측면들 상의 표면들을 포함하며, 이들 양자 모두는 고품질 반도체 디바이스들을 위한 매끄러운 표면을 제공하도록 연마 및 처리된다. 제1 및 제2 측면(430-1, 430-2)은 제1 기판(430)의 대향 측면들이다.
주변 회로(400)는 제1 기판(430)의 제1 측면(430-1) 상에 하나 이상의 주변 디바이스(450)(예를 들어, 450-1, 450-2, 450-3 등)를 포함할 수 있다. 주변 디바이스(450)는 제1 기판(430) "상에" 형성될 수 있고, 여기서 주변 디바이스(450)의 전체 또는 일부는 제1 기판(430)에(예를 들어, 제1 기판(430)의 상단 표면 아래에) 및/또는 제1 기판(430) 상에 직접 형성된다. 주변 디바이스(450)는 임의의 적절한 반도체 디바이스들, 예를 들어, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET), 바이폴라 접합 트랜지스터(BJT), 다이오드, 저항기, 커패시터, 인덕터 등을 포함할 수 있다. 반도체 디바이스들 중에서, p-형 및/또는 n-형 MOSFET들(즉, CMOS)은 로직 회로 설계에서 널리 구현되고, 본 개시내용에서 주변 디바이스(450)에 대한 예들로서 사용된다. 이 예에서, 주변 회로(400)는 또한 CMOS 웨이퍼(400)로 지칭된다.
주변 디바이스(450)는 p-채널 MOSFET 또는 n-채널 MOSFET일 수 있고, 얕은 트렌치 격리부(STI)(452)에 의해 둘러싸인 활성 디바이스 영역, n-형 또는 p-형 도핑으로 활성 디바이스 영역에 형성된 웰(454)(예를 들어, 454-1, 454-2, 454-3 등), 게이트 유전체, 게이트 전도체 및/또는 게이트 하드 마스크를 포함하는 게이트 스택(456)을 포함할 수 있지만, 이에 제한되지 않는다. 주변 디바이스(450)는 또한 게이트 스택의 각각의 측면 상에 위치되는 소스/드레인 연장부 및/또는 헤일로 영역(도 4a에 도시되지 않음), 게이트 스페이서(458) 및 소스/드레인(460)(예를 들어, 460-1, 460-2 등)을 포함할 수 있다. 주변 디바이스(450)는 소스/드레인의 상단 부분에서 실리사이드 접점 영역(도시되지 않음)을 더 포함할 수 있다. 다른 공지된 디바이스들(예를 들어, 다이오드들, 커패시터들, 저항기들 등)이 또한 제1 기판(430) 상에 형성될 수 있다. 주변 디바이스(450)의 구조 및 제조 방법은 본 기술분야의 통상의 기술자에게 공지되어 있고, 전체적으로 본원에 포함된다.
STI(452)는, 리소그래피 및 에칭을 이용하여 기판을 패터닝하고, 절연 재료를 채우고, 절연 재료를 연마하여 제1 기판(430) 상에 동일 평면 표면을 형성하는 것을 통해 형성될 수 있다. STI(452)를 위한 절연 재료는 실리콘 산화물, 실리콘 산질화물, TEOS, 저온 산화물(LTO), 고온 산화물(HTO), 실리콘 질화물 등을 포함할 수 있다. STI(452)를 위한 절연 재료는 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma-enhanced CVD), LPCVD(low pressure chemical vapor deposition), HDP(high density plasma) 화학 기상 증착, RTCVD(rapid thermal chemical vapor deposition), MOCVD(metal organic chemical vapor deposition), ALD(atomic layer deposition), 스퍼터링, 열 산화 또는 질화, 또는 이들의 조합들과 같은 기술들을 사용하여 배치될 수 있다. STI(452)의 형성은 또한, 개선된 전기적 격리를 위해 배치된 절연 재료를 치밀화하기 위한 고온 어닐링 단계를 포함할 수 있다. 본 기술분야의 통상의 기술자에게 명백한 바와 같이, 다른 STI 구조가 채용될 수 있다.
주변 디바이스(450)의 웰(454)은 n-채널 MOSFET(454-2)에 대한 p-형 도핑된 웰 및 p-채널 MOSFET(454-1)에 대한 n-형 도핑된 웰을 포함할 수 있고, 각각 p-웰(454-2) 및 n-웰(454-1)이라고 지칭된다. 웰(454)의 도펀트 프로파일 및 농도는 주변 디바이스(450)의 디바이스 특성에 영향을 미친다. 낮은 임계 전압(Vt)을 갖는 MOSFET 디바이스들에 대해, 웰(454)은 더 낮은 농도로 도핑될 수 있고, 저전압 p-웰 또는 저전압 n-웰을 형성할 수 있다. 높은 Vt를 갖는 MOSFET의 경우, 웰(454)은 더 높은 농도로 도핑될 수 있고, 고전압 p-웰 또는 고전압 n-웰을 형성할 수 있다. 일부 실시예에서, p-형 기판으로부터의 전기적 격리를 제공하기 위해, 딥 n-웰(454-3)은, (고전압 nMOSFET 또는 HV NMOS(450-3)라고도 지칭되는) 높은 Vt를 갖는 n-채널 MOSFET(450-3)에 대한 고전압 p-웰(454-2) 아래에 형성될 수 있다.
n-웰의 형성은 인, 비소, 안티몬 등과 같은 임의의 적절한 n-형 도펀트, 및/또는 이들의 임의의 조합을 포함할 수 있다. p-웰의 형성은 임의의 적절한 p-형 도펀트, 예를 들어 붕소를 포함할 수 있다. 도펀트 혼입은 활성 디바이스 영역에 대한 에피택시 동안 인-시튜 도핑을 통해, 또는 활성화 어닐링이 뒤따르는 이온 주입을 통해 달성될 수 있다.
주변 디바이스(450)의 게이트 스택(456)은 "게이트 퍼스트" 방식에 의해 형성될 수 있고, 여기서 게이트 스택(456)은 소스/드레인 형성 이전에 배치되고 패터닝된다. 주변 디바이스(450)의 게이트 스택(456)은 또한, 희생 게이트 스택이 먼저 형성된 다음 소스/드레인 형성 이후에 하이-k 유전체 층 및 게이트 전도체로 대체될 수 있는 "대체" 방식에 의해 형성될 수 있다.
일부 실시예들에서, 게이트 유전체는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및/또는 하프늄 산화물, 지르코늄 산화물, 알루미늄 산화물, 탄탈륨 산화물, 마그네슘 산화물, 또는 란타늄 산화물 필름들과 같은 하이-k 유전체 필름들, 및/또는 이들의 조합들로 이루어질 수 있다. 게이트 유전체는 CVD, PVD, PECVD, LPCVD, RTCVD, 스퍼터링, MOCVD, ALD, 열 산화 또는 질화, 또는 이들의 조합들과 같은 임의의 적절한 방법들에 의해 배치될 수 있다.
일부 실시예들에서, 게이트 전도체는 텅스텐, 코발트, 니켈, 구리, 또는 알루미늄, 및/또는 이들의 조합들과 같은 금속 또는 금속 합금으로 만들어질 수 있다. 일부 실시예들에서, 게이트 전도체는 또한 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 등과 같은 전도성 재료를 포함할 수 있다. 게이트 전도체는, 임의의 적절한 퇴적 방법, 예를 들어, 스퍼터링, 열 증발, e-빔 증발, ALD, PVD, 및/또는 이들의 조합에 의해 형성될 수 있다.
일부 실시예들에서, 게이트 전도체는 또한 다결정 실리콘, 다결정 게르마늄, 다결정 게르마늄 실리콘 및 임의의 다른 적합한 재료, 및/또는 이들의 조합들과 같은 다결정 반도체를 포함할 수 있다. 일부 실시예들에서, 다결정 재료가 붕소, 인, 또는 비소 등과 같은 임의의 적절한 타입들의 도펀트와 함께 포함될 수 있다. 일부 실시예들에서, 게이트 전도체는 또한 전술한 재료들을 갖는 비정질 반도체일 수 있다.
일부 실시예들에서, 게이트 전도체는 WSix, CoSix, NiSix, 또는 AlSix 등을 포함하는 금속 실리사이드로 만들어질 수 있다. 금속 실리사이드 재료의 형성은 전술된 유사한 기술들을 이용하여 금속 층 및 다결정 반도체를 형성하는 것을 포함할 수 있다. 금속 실리사이드의 형성은 퇴적된 금속 층 및 다결정 반도체 층 상에 열 어닐링 공정을 적용한 다음, 미반응 금속을 제거하는 단계를 더 포함할 수 있다.
게이트 스페이서(458)는 절연 재료를 배치한 다음 이방성 에칭을 수행하는 것을 통해 형성될 수 있다. 게이트 스페이서(458)를 위한 절연 재료는 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, TEOS, LTO, HTO 등을 포함하는 임의의 절연체일 수 있다. 게이트 스페이서(458)는, CVD, PVD, PECVD, LPCVD, RTCVD, MOCVD, ALD, 스퍼터링, 또는 이들의 조합 같은 기술을 이용하여 배치될 수 있다. 게이트 스페이서(458)의 이방성 에칭은 건식 에칭, 예를 들어 반응성 이온 에칭(RIE)을 포함한다.
소스/드레인(460) 사이의 게이트 스택(456)의 게이트 길이 L은 MOSFET의 중요한 특징이다. MOSFET의 동작 동안에, 게이트 스택(456) 아래의 웰의 상단 부분은 하전된 캐리어를 소스로부터 드레인으로 수송할 수 있고, 소위 MOSFET의 채널이다. 게이트 길이 L(채널 길이라고도 지칭됨)은 MOSFET의 전류의 크기를 결정하고 로직 회로들에 대해 적극적으로 축소된다. 게이트 길이 L은 약 100nm 미만일 수 있다. 일부 실시예들에서, 게이트 길이는 약 5nm 내지 약 30nm의 범위에 있을 수 있다. 그러한 작은 치수를 갖는 게이트 스택의 패터닝은 매우 어려우며, 광학 근접 보정, 이중 노광 및/또는 이중 에칭, 자기 정렬 이중 패터닝 등을 포함하는 기술들을 이용할 수 있다.
일부 실시예들에서, 주변 디바이스(450)의 소스/드레인(460)에는 고농도 도펀트들이 혼입된다. n-형 MOSFET(450-2)의 경우, 소스/드레인(460-2)의 도펀트는 인, 비소, 안티몬 등 및/또는 이들의 임의의 조합과 같은 임의의 적절한 n-형 도펀트를 포함할 수 있다. p-형 MOSFET(450-1)의 경우, 소스/드레인(460-1)용 도펀트는 임의의 적절한 p-형 도펀트, 예를 들어, 붕소를 포함할 수 있다. 도펀트 혼입은 이온 주입과 그 다음의 도펀트 활성화 어닐링을 통해 달성될 수 있다. 소스/드레인(460)은 제1 기판(430)과 동일한 재료, 예를 들어, 실리콘으로 이루어질 수 있다. 일부 실시예들에서, 주변 디바이스(450)의 소스/드레인(460)은 고성능을 달성하기 위해 제1 기판(430)과 상이한 재료로 제조될 수 있다. 예를 들어, 실리콘 기판 상에서, p-형 MOSFET들을 위한 소스/드레인(460-1)은 SiGe를 포함할 수 있고, n-형 MOSFET들을 위한 소스/드레인(460-2)에는 탄소가 혼입될 수 있다. 상이한 재료로 소스/드레인(460)을 형성하는 것은 소스/드레인 영역에서 기판 재료를 에치백하는 것 및 에피택시와 같은 기술들을 사용하여 새로운 소스/드레인 재료를 배치하는 것을 포함할 수 있다. 소스/드레인(460)에 대한 도핑은 또한 에피택시 동안 인-시튜 도핑을 통해 달성될 수 있다. 일부 실시예들에서, 주변 디바이스(450)(예를 들어, HV NMOS(450-3))는 드레인(460-2)과 게이트 스택(456) 사이에 저농도 도핑된 드레인(LDD)(460-3)을 가질 수 있다. LDD(460-3)는 드레인(460-2)에 고전압이 인가될 때 전계를 감소시킬 수 있다.
주변 디바이스(450)는 또한 게이트 스택(456)의 각각의 측면을 따라 선택적인 소스/드레인 연장부 및/또는 헤일로 영역(도 4a에 도시되지 않음)을 가질 수 있다. 소스/드레인 연장부 및/또는 헤일로 영역은 게이트 스택 아래의 활성 디바이스 영역 내부에 위치하며, 주로 약 0.5μm 미만의 채널 길이를 갖는 주변 디바이스(450)에 대한 개선된 단채널 제어를 위해 구현된다. 소스/드레인 연장부 및/또는 헤일로 영역의 형성은 소스/드레인(460)의 형성과 유사할 수 있지만, 최적화된 도핑 프로파일, 깊이 또는 농도를 얻기 위해 상이한 주입 조건(예를 들어, 도즈, 각도, 에너지, 종 등)을 사용할 수 있다.
주변 디바이스(450)는 평면 활성 디바이스 영역(도 4a에 도시된 바와 같음)으로 제1 기판(430) 상에 형성될 수 있고, 여기서 MOSFET의 채널 및 전류 흐름의 방향은 제1 기판(430)의 표면에 평행하다. 일부 실시예들에서, 주변 디바이스(450)는 또한 3D 활성 디바이스 영역, 예를 들어 "FIN"(도시되지 않음)과 유사한 형상의 소위 "FINFET"으로 제1 기판(430) 상에 형성될 수 있으며, 여기서 MOSFET의 게이트 스택은 FIN 주위에 랩핑되고, MOSFET의 채널은 FIN의 3개의 측면들(상단 및 게이트 아래의 2개의 측벽들)을 따라 놓인다. FINFET 디바이스에 대한 구조 및 방법들은 본 기술분야의 통상의 기술자들에게 알려져 있고, 본 개시내용에서 추가로 논의되지 않는다.
일부 실시예에서, 주변 회로(400)는, 상이한 주변 디바이스(450)와 외부 디바이스(예를 들어, 전원, 또 다른 칩, I/O 디바이스 등) 사이에 전기적 연결을 제공하기 위해, 주변 디바이스(450) 위에, 제1 측면(430-1) 상의 주변 상호접속 층(462)(또는 제1 상호접속 층)을 포함할 수 있다. 주변 상호접속 층(462)은 하나 이상의 상호접속 구조, 예를 들어 하나 이상의 수직 접점 구조(464) 및 하나 이상의 측방향 전도성 라인(466)(예를 들어, 466-1, 466-2 등)을 포함할 수 있다. 접점 구조(464) 및 전도성 라인(466)은, MOL(middle-of-line) 상호접속부들 및 BEOL(back-end-of-line) 상호접속부들과 같은, 임의의 적절한 타입들의 상호접속부들을 광범위하게 포함할 수 있다. 주변 회로(400) 내의 접점 구조(464) 및 전도성 라인(466)은 텅스텐(W), 코발트(Co), 구리(Cu), 티타늄(Ti), 탄탈륨(Ta), 알루미늄(Al), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN), 니켈, 실리사이드(WSix, CoSix, NiSix, AlSix 등), 금속 합금들, 또는 이들의 임의의 조합과 같은 임의의 적절한 전도성 재료들을 포함할 수 있다. 전도성 재료들은 CVD(chemical vapor deposition), PECVD(plasma-enhanced CVD), PVD(physical vapor deposition), ALD(atomic layer deposition), 전기도금, 무전해 도금, 스퍼터링, 증발, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다.
주변 상호접속 층(462)은 절연 층(468)을 더 포함할 수 있다. 주변 상호접속 층(462) 내의 절연 층(468)은, 절연 재료, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 도핑된 실리콘 산화물(예컨대, F-, C-, N- 또는 H-도핑된 산화물), 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합을 포함할 수 있다. 절연 재료들은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다.
도 4a에서, 2개의 전도성 레벨들(470-1 및 470-2)("금속 레벨들"이라고도 지칭됨)이 예로서 예시되며, 여기서 각각의 금속 레벨(470)(예를 들어, 470-1 또는 470-2)은 접점 구조들(464) 및 전도성 라인들(466)을 포함한다. 동일한 금속 레벨의 전도성 라인들(466)은 제1 기판(430)으로부터 동일한 거리에 위치된다. 주변 회로(400)에 대한 금속 레벨들(470)의 수는 제한되지 않고 3D 메모리의 성능을 위해 최적화된 임의의 수일 수 있다.
주변 상호접속 층(462)은 주변 회로(400)의 하단으로부터 상단까지 금속 레벨들(470)을 적층함으로써 형성될 수 있다. 도 4a의 주변 회로(400)의 예에서, 하단 금속 레벨(470-1)이 먼저 형성된 다음, 하단 금속 레벨(470-1)의 상단에 상부 금속 레벨(470-2)이 형성될 수 있다. 각각의 금속 레벨(470)의 제조 공정들은 금속 레벨에 대해 요구되는 두께로 절연 층(468)의 일부를 배치하는 것, 접점 구조들(464) 및 전도성 라인들(466)에 대한 접점 홀들을 형성하기 위해 포토 리소그래피 및 건식/습식 에칭을 사용하여 절연 층(468)의 일부를 패터닝하는 것, 접점 구조들(464) 및 전도성 라인들(466)에 대한 접점 홀들을 채우기 위해 전도성 재료들을 배치하는 것, 및 CMP(chemical mechanical polishing) 또는 RIE(reactive ion etching)와 같은 평탄화 공정을 사용하여 접점 홀들 외부의 과잉 전도성 재료들을 제거하는 것을 포함할 수 있지만 이에 제한되지 않는다.
일부 실시예에서, 주변 회로(400)는 또한 하나 이상의 기판 접점(472)을 포함하고, 여기서 기판 접점(472)은 제1 기판(430)으로의 전기적 연결을 제공한다. 기판 접점(472)은 수직 접점 구조(464) 및 측방향 전도성 라인(466)의 다수의 계층을 갖는 하나 이상의 전도성 레벨(470)을 포함할 수 있다. 도 4a에서, 하나의 계층의 접점 구조 및 전도성 라인을 갖는 기판 접점(472)이 예로서 도시되며, 여기서 기판 접점(472)의 수직 접점 구조는 절연 층(468)을 통해 연장되고 제1 기판(430)과 전기적으로 접촉한다. 일부 실시예에서, 제1 기판(430)은 p-형이고 기판 접점(472)은 p-웰(454-2)과 접촉할 수 있다.
일부 실시예들에서, 최상단 전도성 라인들(466)(예를 들어, 도 4a의 466-2)은 주변 회로(400)의 상단 표면으로서 노출될 수 있고, 여기서, 최상단 전도성 라인들(466-2)은 다른 칩 또는 외부 디바이스 상의 전도성 라인들과 직접 접속될 수 있다.
일부 실시예에서, 최상단 전도성 라인(466-2)은 (도 4a에 도시된 바와 같이) 절연 층(468) 내부에 매립될 수 있고, 여기서, 전도성 라인(466) 상단의 절연 재료는 선적이나 취급 동안에 스크래치 보호를 제공한다. 최상단의 전도성 라인(466)으로의 전기적 연결은, 나중에, 금속 VIA를 형성하거나, 단순히 건식/습식 에칭을 이용하여 절연 층(468)을 에치백함으로써 확립될 수 있다.
그러나, 주변 디바이스(450)는 MOSFET에 제한되지 않는다. 다른 디바이스들, 예를 들어, 다이오드들, 저항기들, 커패시터들, 인덕터들, BJT들 등의 구조들이 상이한 마스크 설계 및 레이아웃을 통해 MOSFET 제조 동안 동시에 형성될 수 있다. MOSFET들 이외의 디바이스들을 형성하기 위해, MOSFET의 공정 흐름, 예를 들어, 상이한 도펀트 프로파일들, 필름 두께들 또는 재료 스택들 등을 획득하기 위한 공정들에서 공정 단계들이 추가되거나 수정될 수 있다. 일부 실시예들에서, MOSFET 이외의 주변 디바이스(450)는 또한 특정 회로 요건들을 달성하기 위해 추가적인 설계 및/또는 리소그래피 마스크 레벨들로 제조될 수 있다.
일부 실시예에서, 복수의 주변 디바이스(450)는 주변 회로(400)의 동작을 위한 임의의 디지털, 아날로그, 및/또는 혼합 신호 회로를 형성하는데 이용될 수 있다. 주변 회로(400)는, 예를 들어, 메모리 어레이의 데이터의 행/열 디코딩, 타이밍 및 제어, 판독, 기입 및 소거 등을 수행할 수 있다.
도 4b는 본 개시내용의 일부 실시예들에 따른 주변 영역(401)의 평면도를 예시한다. 주변 영역(401)은 도 4a의 주변 회로(400)의 일부, 예를 들어 페이지 버퍼의 일부일 수 있다. 주변 영역(401) 내의 라인 AA'를 따른 단면이 도 4a에 도시된다. 도 4b에서, 도 4a의 주변 상호접속 층(462)은 아래의 재료들 및 구조들을 나타내기 위해 생략된다.
일부 실시예들에서, 주변 디바이스들(450), 예를 들어, 주변 영역(401) 내의 고전압 nMOSFET(HV NMOS)(450-3)은 조밀하게 분포된다. 예를 들어, 페이지 버퍼에서, HV NMOS(450-3)는 저장 데이터를 프로그램하고 소거하기 위해 메모리 어레이의 비트 라인들을 구동하는데 사용될 수 있다. 이와 같이, 페이지 버퍼 내의 HV NMOS(450-3)는 어레이와 유사한 구성의 행들 및 열들로 레이아웃될 수 있다.
메모리 어레이의 워드 라인들 및 비트 라인들이 3D 메모리에서 더 조밀해짐에 따라, 주변 디바이스들(450)의 치수들 및 인접한 것들 사이의 간격은 점점 더 작아지고 있다. 예를 들어, 이웃하는 HV NMOS(450-3) 사이의 간격 "s"는 3D 메모리 기술이 진보함에 따라 더 작은 치수가 되어가고 있다.
도 4b에서, HV NMOS(450-3)의 활성 디바이스 영역은 STI(452)에 의해 둘러싸인 반도체 영역이다. 고전압 동작을 지원하기 위해, HV NMOS(450-3)의 소스/드레인(460-2)은 게이트 스택(456)의 대향 측면들 상에 비대칭적으로 배치될 수 있고, 여기서 드레인(460-2D)은 게이트 스택(456)으로부터 더 멀리 떨어져 있을 수 있고 소스(460-2S)는 게이트 스택(456)에 더 가까울 수 있다. 드레인(460-2D)에 고전압이 인가될 때 전계를 감소시키기 위해 게이트 스택(456)과 드레인(460-2D) 사이에 LDD(460-3)가 배치될 수 있다.
도 4c는 본 개시내용의 일부 실시예들에 따른 라인 BB'를 따른 주변 영역(401)의 단면도를 예시한다. 도 4c에서, 주변 상호접속 층(462)의 접점 구조(464) 및 전도성 라인들(466)은 단순성을 위해 생략된다.
일부 실시예에서, STI(452)는 간격 "s"와 등가의 폭을 갖는 이웃하는 드레인들(460-2D) 사이에 배치될 수 있다. 드레인(460-2D)과 웰(454-2) 사이의 전계를 감소시키기 위해 각각의 드레인(460-2D) 아래에 LDD(460-3)가 배치될 수 있다.
일부 실시예들에서, 주변 영역(401) 내의 주변 디바이스들(450)은 HV NMOS(450-3)를 포함할 수 있다. 이 예에서, 드레인(460-2D)은 n-형 도펀트로 고농도로 도핑될 수 있고, LDD(460-3)는 n-형 도펀트로 비교적 저농도로 도핑될 수 있고, 웰(454-2)은 p-형 도펀트로 도핑될 수 있다. 딥 n-웰(454-3)은 p-웰(454-2)을 p-형 기판(430)으로부터 격리시킬 수 있다. 이 구성에서, 드레인(460-2D) 및 LDD(460-3)는 LDD(460-3)와 p-웰(454-2) 사이의 인터페이스, p-웰(454-2)과 딥 n-웰(454-3) 사이의 인터페이스, 그리고 딥 n-웰(454-3)과 p-형 기판(430) 사이의 인터페이스에 형성된 PN 접합에 의해 기판으로부터 격리될 수 있다. 한편, 드레인(460-2D) 및 LDD(460-3)는 p-웰(454-2)과 LDD(460-3) 사이의 인터페이스에 형성된 STI(452) 및 PN 접합에 의해 이웃하는 드레인으로부터 격리될 수 있다.
일부 실시예들에서, 주변 디바이스(450)의 누설 전류 및 항복 전압은 도펀트 농도/프로파일, 인가된 전압은 물론, 웰들(454)의 깊이들, STI(452)의 간격 "s" 및 깊이 "d" 등과 같은 물리적 치수들에 의존한다. 주변 디바이스들의 전기적 격리는 예를 들어 더 높은 주입 에너지를 이용하는 더 깊은 웰들(454)에 의해 개선될 수 있다. 더 깊은 STI(452)는 또한 더 높은 종횡비의 에칭으로 형성될 수 있다. 그러나, 이러한 조정들은 디바이스 성능 및 신뢰성 요건뿐만 아니라 공정 복잡성으로 인해 제한을 가질 수 있다. 이와 같이, 3D 메모리를 위한 주변 회로(400)의 동작을 지원하기 위해 격리 구조들의 개선이 필요하며, 다음에 상세히 논의될 것이다.
도 5는 본 개시내용의 일부 실시예들에 따른 예시적인 3D 메모리 어레이(500)의 단면을 예시한다. 3D 메모리 어레이(500)는 3D NAND 메모리 어레이일 수 있고, 제2 기판(530), 메모리 셀들(340) 및 어레이 상호접속 층(562)(또는 제2 상호접속 층)을 포함할 수 있다. 제2 기판(530)은 제1 기판(430)과 유사할 수 있다. 어레이 상호접속 층(562)은 주변 상호접속 층(462)과 유사할 수 있으며, 유사한 재료들 및 유사한 공정들을 이용하여 형성될 수 있다. 예를 들어, 어레이 상호접속 층(562)의 상호접속 구조들(예를 들어, 접점 구조들(564) 및 전도성 라인들(566)) 및 절연 층(568)은 주변 상호접속 층(462)의 상호접속 구조들(예를 들어, 접점 구조들(464), 전도성 라인들(466)) 및 절연 층(468)과 각각 유사하다.
일부 실시예들에서, 3D 메모리 어레이(500)는 메모리 셀들(340)이 메모리 스트링들(212)로서 수직으로 적층될 수 있는 3D NAND 플래시 메모리를 위한 메모리 어레이일 수 있다. 메모리 스트링(212)은 복수의 전도체 층(574) 및 유전체 층(576) 쌍들을 통해 연장된다. 복수의 전도체/유전체 층 쌍은 본 명세서에서 "교번하는 전도체/유전체 스택"(578)이라고도 지칭된다. 교번하는 전도체/유전체 스택(578)에서의 전도체 층들(574) 및 유전체 층들(576)은 수직 방향으로 교번한다. 즉, 교번하는 전도체/유전체 스택(578)의 상단 또는 하단에 있는 것들을 제외하고, 각각의 전도체 층(574)은 양 측면 상의 2개의 유전체 층(576)에 의해 샌드위치될 수 있고, 그리고 각각의 유전체 층(576)은 양 측면 상의 2개의 전도체 층(574)에 의해 샌드위치될 수 있다. 전도체 층들(574) 각각은 동일한 두께를 갖거나 상이한 두께들을 가질 수 있다. 유사하게, 유전체 층(576)들 각각은 동일한 두께를 갖거나 상이한 두께를 가질 수 있다. 일부 실시예들에서, 교번하는 전도체/유전체 스택(578)은 전도체/유전체 층 쌍보다 더 많은 전도체 층들 또는 상이한 재료들 및/또는 두께들을 갖는 더 많은 유전체 층들을 포함한다. 전도체 층들(574)은 W, Co, Cu, Al, Ti, Ta, TiN, TaN, Ni, 도핑된 실리콘, 실리사이드(예를 들어, NiSix, WSix, CoSix, TiSix) 또는 이들의 임의의 조합과 같은 전도체 재료들을 포함할 수 있다. 유전체 층들(576)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 임의의 조합과 같은 유전체 재료들을 포함할 수 있다.
도 5에 도시된 바와 같이, 각각의 메모리 스트링(212)은 채널 층(338) 및 메모리 필름(337)을 포함할 수 있다. 일부 실시예들에서, 채널 층(338)은 비정질 실리콘, 폴리실리콘, 또는 단결정 실리콘과 같은 실리콘을 포함한다. 일부 실시예들에서, 메모리 필름(337)은 터널링 층, 저장 층("전하 트랩/저장 층"이라고도 알려짐), 및 차단 층을 포함하는 복합 층이다. 각각의 메모리 스트링(212)은 원통 형상(예를 들어, 기둥 형상)을 가질 수 있다. 일부 실시예들에 따르면, 채널 층(338), 터널링 층, 저장 층, 및 차단 층은 필러의 중심으로부터 외부 표면을 향하는 방향을 따라 이러한 순서로 배열된다. 터널링 층은 실리콘 산화물, 실리콘 질화물, 또는 이들의 임의의 조합을 포함할 수 있다. 차단 층은 실리콘 산화물, 실리콘 질화물, 높은 유전 상수(하이-k) 유전체들, 또는 이들의 임의의 조합을 포함할 수 있다. 저장 층은 실리콘 질화물, 실리콘 산질화물, 실리콘, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 메모리 필름(337)은 ONO 유전체들(예를 들어, 실리콘 산화물을 포함하는 터널링 층, 실리콘 질화물을 포함하는 저장 층, 및 실리콘 산화물을 포함하는 차단 층)을 포함한다.
일부 실시예들에서, 교번하는 전도체/유전체 스택(578)에서의 각각의 전도체 층(574)은 메모리 스트링(212)의 각각의 메모리 셀에 대한 제어 게이트(예를 들어, 도 3에서의 제어 게이트들(333))로서 작용할 수 있다. 도 5에 도시된 바와 같이, 메모리 스트링(212)은 메모리 스트링(212)의 하부 단부에 하부 선택 게이트(332)(예를 들어, 소스 선택 게이트)를 포함할 수 있다. 메모리 스트링(212)은 또한 메모리 스트링(212)의 상부 단부에서 상단 선택 게이트(334)(예를 들어, 드레인 선택 게이트)를 포함할 수 있다. 본 명세서에서 사용되는 바와 같이, 컴포넌트(예를 들어, 메모리 스트링(212))의 "상부 단부"는 수직 방향으로 제2 기판(530)으로부터 더 멀리 떨어진 단부이고, 컴포넌트(예를 들어, 메모리 스트링(212))의 "하부 단부"는 수직 방향으로 제2 기판(530)에 더 가까운 단부이다. 도 5에 도시된 바와 같이, 각각의 메모리 스트링(212)에 대해, 드레인 선택 게이트(334)는 소스 선택 게이트(332) 위에 있을 수 있다. 일부 실시예들에서, 선택 게이트들(332/334)은 W, Co, Cu, Al, 도핑된 실리콘, 실리사이드, 또는 이들의 임의의 조합과 같은 전도체 재료들을 포함한다.
일부 실시예들에서, 3D 메모리 어레이(500)는 메모리 스트링(212)의 채널 층(338)의 하부 단부 상에 에피택셜 층(580)을 포함한다. 에피택셜 층(580)은 실리콘과 같은 반도체 재료를 포함할 수 있다. 에피택셜 층(580)은 제2 기판(530) 상의 반도체 층(582)으로부터 에피택셜 성장될 수 있다. 반도체 층(582)은 도핑되지 않거나, (두께 방향 및/또는 폭 방향으로) 부분적으로 도핑되거나, p-형 또는 n-형 도펀트에 의해 완전히 도핑될 수 있다. 각각의 메모리 스트링(212)에 대해, 에피택셜 층(580)은 본 명세서에서 "에피택셜 플러그"라고 지칭된다. 각각의 메모리 스트링(212)의 하부 단부에서의 에피택셜 플러그(580)는 채널 층(338) 및 반도체 층(582)의 도핑된 영역 양자 모두와 접촉할 수 있다. 에피택셜 플러그(580)는 메모리 스트링(212)의 하부 단부에서 하부 선택 게이트(332)의 채널로서 기능할 수 있다.
일부 실시예에서, 어레이 디바이스는 계단 영역(210)에서 (워드 라인 접점이라고도 지칭되는) 워드 라인들의 다수의 접점 구조(214)를 더 포함한다. 각각의 워드 라인 접점 구조(214)는 메모리 셀(340)을 개별적으로 제어하기 위하여 교번하는 전도체/유전체 스택(578)에서의 대응하는 전도체 층(574)과 전기적 접점을 형성할 수 있다. 워드 라인 접점 구조(214)는 접점 홀을 건식/습식 에칭한 다음, 전도체, 예를 들어, W, Ti, TiN, Cu, TaN, Al, Co, Ni, 또는 이들의 임의의 조합으로 채움으로써 형성될 수 있다.
도 5에 도시된 바와 같이, 3D 메모리 어레이(500)는 또한 메모리 스트링들(212)의 채널 층(338)으로의 개별 액세스를 제공하기 위해 메모리 스트링들(212)의 상단에 형성된 비트 라인 접점들(584)을 포함한다. 워드 라인 접점 구조들(214) 및 비트 라인 접점들(584)과 접속된 전도성 라인들은 각각 3D 메모리 어레이(500)의 워드 라인들 및 비트 라인들을 형성한다. 통상적으로, 워드 라인들 및 비트 라인들은 서로 수직으로(예를 들어, 각각 행들 및 열들로) 배치되어, 메모리의 "어레이"를 형성한다.
일부 실시예들에서, 3D 메모리 어레이(500)는 또한 제2 기판(530)의 기판 접점(572)을 포함한다. 기판 접점(572)은 제1 기판(430)의 기판 접점(472)과 유사한 재료 및 공정을 이용하여 형성될 수 있다. 기판 접점(572)은 3D 메모리 어레이(500)의 제2 기판(530)으로의 전기적 연결을 제공할 수 있다.
도 6은 본 개시내용의 일부 실시예들에 따른 예시적인 3D 메모리 디바이스(600)의 단면을 예시한다. 3D 메모리 디바이스(600)는 제1 기판(430) 상에 제조된 주변 회로(400) 및 제2 기판(530) 상에 제조된 3D 메모리 어레이(500)를 포함한다. 이 예에서, 3D 메모리 어레이(500)는 뒤집혀서 직접 본딩 또는 하이브리드 본딩으로 주변 회로(400)와 접합된다. 본딩 계면(688)에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 복수의 상호접속 VIA(486/586)를 통해 전기적으로 연결된다.
일부 실시예들에서, 3D 메모리 디바이스(600)의 본딩 계면(688)은 주변 상호접속 층(462)의 절연 층(468)과 어레이 상호접속 층(562)의 절연 층(568) 사이에 위치한다. 상호접속 VIA들(486 및 586)은 본딩 계면(688)에서 접합되어 주변 상호접속 층(462)의 임의의 전도성 라인(466) 또는 접점 구조(464)와 어레이 상호접속 층(562)의 임의의 전도성 라인(566) 또는 접점 구조(564)를 전기적으로 연결할 수 있다. 이와 같이, 주변 회로(400) 및 3D 메모리 어레이(500)는 전기적으로 연결될 수 있다.
일부 실시예들에서, 3D 메모리 디바이스(600)의 본딩 계면(688)은 본딩 층(690) 내부에 위치한다. 이 예에서, 상호접속 VIA들(486 및 586)은 본딩 층(690)을 통해 연장되고, 또한 주변 상호접속 층(462)의 임의의 전도성 라인(466) 또는 접점 구조(464)와 어레이 상호접속 층(562)의 전도성 라인(566) 또는 접점 구조(564) 사이에 전기적 연결들을 형성한다. 이와 같이, 주변 회로(400) 및 3D 메모리 어레이(500)는 또한 전기적으로 연결될 수 있다.
일부 실시예들에서, 본딩 층(690)은 본딩 공정 전에 주변 회로(400)(도 4a) 및/또는 3D 메모리 어레이(500)(도 5)의 상단에 배치될 수 있다. 본딩 층(690)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합과 같은 유전체 재료들을 포함할 수 있다. 본딩 층(690)은 또한 접착 재료들, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름, 감광성 폴리머 등을 포함할 수 있다. 본딩 층(690)은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 형성될 수 있다.
일부 실시예에서, 본딩 층(690)을 형성한 후에, 상호접속 VIA(486 및 586)가 각각 주변 회로(400) 및 3D 메모리 어레이(500)에 대해 형성될 수 있다. 상호접속 VIA들(486/586)은 구리(Cu), 주석(Sn), 니켈(Ni), 금(Au), 은(Ag), 티타늄(Ti), 알루미늄(Al), 티타늄 질화물(TiN), 탄탈륨 질화물(TaN) 등, 또는 이들의 임의의 조합과 같은 금속 또는 금속 합금을 포함할 수 있다. 상호접속 VIA들(486/586)의 금속 또는 금속 합금은, 화학 기상 증착(CVD), 플라즈마-강화된 CVD(PECVD), 물리 기상 증착(PVD), 원자 층 증착(ALD), 전기도금, 무전해 도금, 스퍼터링, 증발, 또는 이들의 임의의 조합 같은 하나 이상의 박막 퇴적 공정에 의해 배치될 수 있다.
상호접속 VIA들(486/586)의 제조 공정은, 포토리소그래피, 습식/건식 에칭, 평탄화(예를 들어, CMP 또는 RIE 에치백) 등을 더 포함할 수 있지만, 이에 제한되지 않는다.
일부 실시예에서, 주변 회로(400) 및 3D 메모리 어레이(500)는, 제품 설계 및 제조 전략에 따라, 다이 레벨에서(예를 들어, 다이-대-다이, 또는 칩-대-칩) 또는 웨이퍼 레벨에서(예를 들어, 웨이퍼-대-웨이퍼 또는 칩-대-웨이퍼) 함께 본딩될 수 있다. 웨이퍼 레벨에서의 본딩은 높은 처리량을 제공할 수 있으며, 여기서 주변 회로(400)를 갖는 제1 기판(430) 상의 모든 다이들/칩들은 3D 메모리 어레이(500)를 갖는 제2 기판(530)과 동시에 접합될 수 있다. 개별 3D 메모리 디바이스(600)는 웨이퍼 본딩 후에 다이싱될 수 있다. 한편, 다이싱 및 다이 테스트 후에 다이 레벨에서의 본딩이 수행될 수 있고, 여기서, 주변 회로(400) 및 3D 메모리 어레이(500)의 기능 다이들이 먼저 선택된 후 본딩되어 3D 메모리 디바이스(600)를 형성할 수 있어, 3D 메모리 디바이스(600)의 더 높은 수율을 가능하게 한다.
일부 실시예들에서, 본딩 공정 동안, 주변 상호접속 층(462)은 주변 회로(400)의 상호접속 VIA들(486)이 3D 메모리 어레이(500)의 대응하는 상호접속 VIA들(586)과 정렬될 때 어레이 상호접속 층(562)과 정렬될 수 있다. 그 결과, 대응하는 상호접속 VIA들(486/586)은 본딩 계면(688)에서 접속될 수 있고 3D 메모리 어레이(500)는 주변 회로(400)와 전기적으로 연결될 수 있다.
일부 실시예들에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 하이브리드 본딩에 의해 접합될 수 있다. 하이브리드 본딩, 특히 금속/유전체 하이브리드 본딩은 금속-금속 본딩 및 유전체-유전체 본딩을 동시에 획득하는 직접 본딩 기술(예를 들어, 솔더 또는 접착제와 같은 중간 층들을 사용하지 않고 표면들 사이에 본딩을 형성하는 것)일 수 있다.
일부 실시예들에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 본딩 층(690)을 사용하여 본딩될 수 있다. 본딩 계면(688)에서, 본딩은, 금속 대 금속 본딩 외에도, 실리콘 질화물 대 실리콘 질화물, 실리콘 산화물 대 실리콘 산화물, 또는 실리콘 질화물 대 실리콘 산화물 사이에서 발생할 수 있다. 일부 실시예들에서, 본딩 층은 또한 본딩 강도를 향상시키기 위한 접착 재료, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름 등을 포함할 수 있다.
일부 실시예들에서, 본딩 계면(688)에서의 본딩 강도를 향상시키기 위해 처리 공정이 이용될 수 있다. 처리 공정은 절연 층들(562/462)의 표면들이 화학적 결합들을 형성하도록 어레이 상호접속 층(562) 및 주변 상호접속 층(462)의 표면들을 준비할 수 있다. 처리 공정은 예를 들어 플라즈마 처리 공정(예를 들어, F, Cl 또는 H 함유 플라즈마를 이용함) 또는 화학적 공정(예를 들어, 포름산)을 포함할 수 있다. 일부 실시예들에서, 처리 공정은 진공 또는 불활성 분위기(예를 들어, 질소 또는 아르곤을 이용함)에서 약 250°C 내지 약 600°C의 온도에서 수행될 수 있는 열적 공정을 포함할 수 있다. 열적 공정은 상호접속 VIA들(486 및 586) 사이의 금속 상호확산을 야기할 수 있다. 그 결과, 상호접속 VIA들의 대응하는 쌍들에서의 금속성 재료들은 본딩 공정 후에 서로 혼합되거나 합금을 형성할 수 있다.
주변 및 어레이 상호접속 층들을 함께 본딩한 후, 제1 기판(430) 상에 제조된 주변 회로(400)의 적어도 하나의 주변 디바이스는 제2 기판(530) 상에 제조된 3D 메모리 어레이(500)의 적어도 하나의 메모리 셀과 전기적으로 연결될 수 있다.
도 6은 3D 메모리 어레이(500)가 주변 회로(400)의 상단에 본딩될 수 있는 실시예를 예시한다. 일부 실시예들에서, 주변 회로(400)는 3D 메모리 어레이(500)의 상단에 본딩될 수 있다.
본딩을 통해, 3D 메모리 디바이스(600)는 주변 회로 및 메모리 어레이가(도 1에 도시된 바와 같이) 동일한 기판 상에 제조되는 3D 메모리와 유사하게 기능할 수 있다. 3D 메모리 어레이(500)와 주변 회로(400)를 서로의 상단에 적층함으로써, 3D 메모리 디바이스(600)의 밀도가 증가될 수 있다. 한편, 적층 설계를 사용함으로써 주변 회로(400)와 3D 메모리 어레이(500) 사이의 상호접속 거리가 감소될 수 있기 때문에 3D 메모리 디바이스(600)의 대역폭이 증가될 수 있다.
도 7a는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(700)의 단면도를 예시한다. 3D 메모리 디바이스(700)는 도 6의 3D 메모리 디바이스(600)를 형성한 후에 제1 기판(430)의 제2 측면(430-2) 상에 배치된 유전체 캡핑 층(792)을 포함한다.
일부 실시예에서, 주변 회로(400)의 제1 기판(430)은, 3D 메모리 어레이(500)를 주변 회로(400)와 본딩한 후에 배면(430-2)(또는 제2 측면)으로부터 박형화될 수 있다. 일부 실시예에서, 기판 박형화 공정은, 연삭, 건식 에칭, 습식 에칭, 및 화학 기계적 연마(CMP) 중 하나 이상을 포함할 수 있다. 박형화 후의 제1 기판(430)의 두께는 1μm 내지 10μm의 범위에 있을 수 있다.
유전체 캡핑 층(792)은, 임의의 적절한 절연 재료, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (F-, C-, N- 또는 H-도핑된 산화물 같은) 도핑된 실리콘 산화물, 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합일 수 있다. 절연 재료들은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다. 일부 실시예들에서, 유전체 캡핑 층(792)은 퇴적 후에 제1 기판(430)의 전체 배면(430-2)을 덮는다.
도 7b는 본 개시내용의 일부 실시예들에 따른, 도 7a의 3D 메모리 디바이스(700)의 영역(701)의 단면도를 예시한다. 영역(701)은 고밀도의 주변 디바이스들(450)(예를 들어, HV NMOS(450-3))을 갖는 도 4a 내지 도 4c의 주변 영역(401)에 대응한다. 도 7a의 영역(701)의 단면은 3D 메모리 어레이(500)와 본딩한 후의 라인 AA'을 따른 주변 영역(401)의 단면도에 대응한다. 도 7b의 영역(701)의 단면도는 3D 메모리 어레이(500)와 본딩한 후의 라인 BB'을 따른 주변 영역(401)의 단면도에 대응한다.
도 8a 및 도 8b는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(801)의 평면도 및 단면도를 예시한다. 3D 메모리 디바이스(801)는 (도 7a 및 도 7b에서) 3D 메모리 디바이스(700) 및 영역(701)에 형성된 복수의 트렌치들(894)을 포함한다. 도 8a의 평면도에서, 메모리 어레이(500), 본딩 층(690) 및 주변 상호접속 층(462)은 하위 재료들을 보여주기 위해 생략된다. 도 8b의 단면은 도 8a의 라인 BB'를 따른 것이며, 이전의 공정 스테이지들에서의 도 4c 및 도 7b의 단면들에 대응한다. 도 8a의 평면도에서, 트렌치들(894)은 게이트 스택(456) 및 STI(452) 아래에 있다는 점에 유의한다.
일부 실시예에서, 메모리 디바이스(801)의 복수의 트렌치들(894)은 도 7a에서의 3D 메모리 디바이스(700)를 형성한 후에 제1 기판(430)의 배면(430-2)으로부터 형성될 수 있다(도 7b의 영역(701)의 확대도 참조). 이 예에서, 트렌치(894)는 유전체 캡핑 층(792)을 통해 관통하여 제1 기판(430) 내로 연장되어, 트렌치들의 하단에서 STI(452)의 일부를 노출시킨다. 일부 실시예들에서, 트렌치(894)는 또한 웰들(454)(예를 들어, 딥 n-웰(454-3) 및 p-웰(454-2))을 통해 관통한다. 일부 실시예에서, 트렌치(894)의 폭 "w"는 2개의 이웃하는 드레인(460-2D) 사이의 간격 "s"보다 좁을 수 있다.
트렌치(894)는 포토리소그래피 및 에칭을 사용하여 형성될 수 있다. 에칭 공정은 습식 화학적 에칭, 반응성 이온 에칭(RIE), 고종횡비 플라즈마 에칭, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 제1 기판(430)의 실리콘은 SF6 화학제를 이용하는 플라즈마 에칭 및 C4F8 화학제를 이용하는 보호 필름 퇴적을 교번함으로써 에칭될 수 있다.
트렌치들(894)의 예시적인 레이아웃이 도 8a에 제시된다. 이 예에서, 트렌치들(894)은 라인 AA'에 평행하게, 즉, 게이트 스택(456) 또는 라인 BB'에 수직으로 연장한다. 즉, 트렌치(894)는 제1 기판(430)의 배면(430-2)으로부터 이웃하는 드레인들(460-2) 사이에 형성된다.
도 9a 및 도 9b는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(901)의 평면도 및 단면도를 예시한다. 3D 메모리 디바이스(901)는 도 8a 및 도 8b의 3D 메모리 디바이스(801)에 형성된 복수의 배면 깊은 트렌치 격리부들(BDTI)(996)(깊은 트렌치 격리부라고도 지칭됨)을 포함한다. 도 9a의 평면도에서, 메모리 어레이(500), 본딩 층(690) 및 주변 상호접속 층(462)은 하위 재료들을 도시하기 위해 생략된다는 점에 유의한다.
일부 실시예들에서, BDTI(996)는 (도 8a 및 도 8b에서) 트렌치(894) 내부에 트렌치 절연 층(995)을 배치한 다음 평탄화 공정에 의해 형성될 수 있다. 트렌치 절연 층(995)은, 임의의 적절한 절연체, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (F-, C-, N- 또는 H-도핑된 산화물 같은) 도핑된 실리콘 산화물, 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합일 수 있다. 트렌치 절연 층은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다. 일부 실시예들에서, 트렌치 절연 층(995)을 치밀화하기 위해 퇴적 후에 열 처리가 구현될 수 있다.
일부 실시예들에서, BDTI(996)는 CMP, RIE 등과 같은 평탄화 공정을 이용함으로써 유전체 캡핑 층(792)과 동일 평면일 수 있다.
메모리 어레이의 워드 라인들 및 비트 라인들이 3D 메모리에서 더 조밀해짐에 따라, 주변 디바이스들(450)의 치수들 및 인접한 것들 사이의 간격은 점점 더 작아지고 있다. 한편, 더 높은 밀도 및 더 큰 저장 용량을 갖는 3D 메모리를 동작시키기 위해 더 높은 전압들이 인가된다. 따라서, 3D 메모리에서 주변 디바이스들에 대한 바람직한 신뢰성을 유지하는 것은 어렵다. 예를 들어, STI(452)에 걸친 펀치스루를 방지하기 위해 HV NMOS(450-3)의 이웃하는 드레인들(460-2) 사이에 양호한 전기적 격리가 필요하다. BDTI(996)를 사용함으로써, 2개의 이웃하는 주변 디바이스, 예를 들어, HV NMOS(450-3)에 대해 추가적인 전기적 격리가 제공될 수 있다. 일부 실시예들에서, BDTI(996)는 (도 9a 및 도 9b에 도시된 바와 같이) 제1 기판(430)의 배면(430-2)으로부터 라인 AA'에 평행한 방향으로 형성될 수 있다. 이 예에서, 라인(BB')에 평행한 방향에서의 누설 전류는 STI(452) 및 BDTI(996)에 의해 감소될 수 있고, 한편, 라인 AA'에 평행한 방향으로의 누설 전류는 STI(452)에 의해 감소될 수 있다. 일부 실시예들에서, BDTI(996)는 또한 이웃하는 주변 디바이스들 사이에, 제1 기판(430)의 배면(430-2)으로부터 라인 BB'에 평행한 방향으로 형성될 수 있다. 이 예에서, 라인들 AA' 및 BB'를 따른 방향들에서의 누설 전류는 STI(452) 및 BDTI(996)에 의해 감소될 수 있다.
상기 설명에서, 얕은 트렌치 격리부(STI)(452)는 주변 회로(400)와 3D 메모리 어레이(500)의 본딩 이전에 제1 기판(430) 상에 형성될 수 있다. 이 예에서, BDTI(996)는 추가적인 전기적 격리를 제공하여, STI(452) 아래로부터 이웃하는 주변 디바이스들(450)(예를 들어, HV NMOS(450-3)) 사이의 누설 전류를 감소시킨다.
일부 실시예들에서, 이웃하는 주변 디바이스들(450)(예를 들어, HV NMOS(450-3)) 사이의 전기적 격리는 STI(452) 없이 배면 깊은 트렌치 격리부에 의해 제공될 수 있다. 이러한 실시예들은 도 10a 내지 도 10d, 11a-11b 및 12a-12b에 예시되어 있다.
도 10a 및 도 10b는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(1001)의 평면도 및 단면도를 예시한다. 도 10b의 3D 메모리 디바이스(1001)의 단면은 도 10a의 라인 BB'를 따른다.
일부 실시예들에서, 3D 메모리 디바이스(1001)는 도 4a 내지 도 4c에 도시된 주변 영역(401)과 유사한 주변 회로(400)의 일부일 수 있다. 3D 메모리 디바이스(1001)는 또한 제1 기판(430), 주변 디바이스들(예를 들어, HV NMOS(450-3)), 및 주변 상호접속 층(462)(도 10a에서 생략됨)을 포함한다. 이 예에서, STI(452)는 라인 BB'에 평행한 방향으로 이웃하는 주변 디바이스들(450-3) 사이에 형성될 수 있다. 라인 AA'를 따른 3D 메모리 디바이스(1001)의 단면은 도 4a의 주변 영역(401)의 단면과 유사하고, 본 명세서에서 반복되지 않는다.
일부 실시예들에서, 주변 디바이스(예를 들어, HV NMOS(450-3))의 웰들(예를 들어, p-웰(454-2), 딥 n-웰(454-3) 등), 소스 및 드레인(예를 들어, 소스(460-2S) 및 드레인(460-2D)) 및 저농도 도핑 드레인(LDD)(460-3)은 또한, 도 4a 내지 도 4c의 각각의 것들의 형성과 유사하게, 주입에 이어서 활성화 어닐링에 의해 형성될 수 있다.
일부 실시예에서, 주변 디바이스(예를 들어, HV NMOS(450-3))의 웰(예를 들어, p-웰(454-2), 딥 n-웰(454-3) 등), 소스 및 드레인(예를 들어, 소스(460-2S) 및 드레인(460-2D)) 및 LDD(lightly doped drain)(460-3)는 도 10a 및 도 10b에 도시된 패턴을 갖는 개별 주변 디바이스(450-3)에 대해 설계될 수 있다.
일부 실시예에서, 주변 디바이스(450-3)의 웰(예를 들어, p-웰(454-2), 딥 n-웰(454-3) 등), 소스 및 드레인(예를 들어, 소스(460-2S) 및 드레인(460-2D)), 및 저농도 도핑 드레인(LDD)(460-3)은, 도 10c 및 도 10d에 도시된 패턴을 갖는 복수의 주변 디바이스(예를 들어, HV NMOS(450-3))에 대해 설계된 패턴을 가질 수 있다. 이 예에서, (인접한 STI들(452) 사이의) 동일한 활성 디바이스 영역에 위치한 주변 디바이스들은 동일한 웰, 소스/드레인 및 LDD를 공유할 수 있다. 이 예에서, 주변 디바이스에 대한 활성 디바이스 영역은 라인 AA'에 평행한 방향으로 STI들(452)에 의해 정의된다. 주변 디바이스에 대한 활성 디바이스 영역은 이 처리 스테이지에서 라인 BB'에 평행한 방향으로 정의되지 않고, 후속 공정들에서 배면 깊은 트렌치 격리부들에 의해 정의될 수 있다.
도 11a 및 도 11b는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(1101)의 평면도 및 단면도를 예시한다. 도 11b의 3D 메모리 디바이스(1101)의 단면은 도 11a의 라인 BB'를 따른다.
일부 실시예에서, 3D 메모리 디바이스(1101)는 이전에 설명된 유사한 방법을 사용하여 3D 메모리 디바이스(1001)(도 10) 상에 본딩된 3D 메모리 어레이(500)(도 5)를 포함한다. 일부 실시예들에서, 3D 메모리 디바이스(1101)는 또한 본딩 층(690)을 포함한다. 도 11a의 평면도에서, 메모리 어레이(500), 본딩 층(690) 및 주변 상호접속 층(462)은 하위 재료들을 도시하기 위해 생략된다는 점에 유의하여야 한다.
일부 실시예들에서, 제1 기판(430)의 제2 측면 또는 배면(430-2)은 3D 메모리 어레이(500)를 3D 메모리 디바이스(1001)와 본딩한 후에 박형화될 수 있다. 일부 실시예에서, 유전체 캡핑 층(792)은 제1 기판(430)의 배면(430-2) 상에 배치될 수 있다. 기판 박형화 및 유전체 캡핑 층 퇴적을 위한 공정들은 도 7a 및 도 7b에 대해 이전에 설명된 것들과 유사하다.
일부 실시예에서, 3D 메모리 디바이스(1101)는 복수의 트렌치(1194)를 더 포함한다. 트렌치(1194)는 제1 기판들(430)을 통해 관통한다. 일부 실시예들에서, 트렌치(1194)는 웰들(예를 들어, p-웰(454-2), 딥 n-웰(454-3) 등) 및 소스/드레인(460-2S/460-2D)을 통해 연장된다. 일부 실시예에서, 주변 상호접속 층(462)의 일부는 트렌치(1194)의 하단에서 노출될 수 있다.
트렌치(1194)는 트렌치(894)와 유사한 공정을 이용하여 형성될 수 있고, 이는 포토리소그래피 및 제1 기판(430)의 배면(430-2)으로부터의 에칭을 포함한다. 에칭 공정은 습식 화학적 에칭, 반응성 이온 에칭(RIE), 고종횡비 플라즈마 에칭, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 제1 기판(430)의 실리콘은 SF6 화학제를 이용하는 플라즈마 에칭 및 C4F8 화학제를 이용하는 보호 필름 퇴적을 교번함으로써 에칭될 수 있다.
트렌치들(1194)의 예시적인 레이아웃이 도 11a에 제시된다. 이 평면도에서, 트렌치들(1194)은 게이트 스택(456) 및 STI(452) 아래에 있다는 점에 유의한다. 이 예에서, 트렌치들(1194)은 라인 AA'에 평행하게, 즉 게이트 스택(456) 또는 라인 BB'에 수직으로 연장된다. 트렌치(1194)는 제1 기판(430)의 배면(430-2)으로부터 이웃하는 드레인들(460-2) 사이에 형성될 수 있다.
도 12a 및 도 12b는 본 개시내용의 일부 실시예들에 따른, 3D 메모리 디바이스(1201)의 평면도 및 단면도를 예시한다. 도 12b의 3D 메모리 디바이스(1201)의 단면은 도 12a의 라인 BB'를 따른다. 도 12a의 평면도에서, 메모리 어레이(500), 본딩 층(690) 및 주변 상호접속 층(462)은 하위 재료들을 도시하기 위해 생략된다는 점에 유의한다.
일부 실시예에서, 3D 메모리 디바이스(1201)는 복수의 배면 깊은 트렌치 격리부들(BDTI들)(1296)을 포함한다. BDTI(1296)는 도 11a 및 도 11b의 3D 메모리 디바이스(1101)의 트렌치(1194) 내부에 트렌치 절연 층(1295)을 배치함으로써 형성될 수 있다. 트렌치 절연 층(1295)은, 임의의 적절한 절연체, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (F-, C-, N- 또는 H-도핑된 산화물 같은) 도핑된 실리콘 산화물, 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합일 수 있다. 트렌치 절연 층(1295)은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다. 일부 실시예들에서, 트렌치 절연 층(1295)을 치밀화하기 위해 퇴적 후에 열 처리가 구현될 수 있다.
일부 실시예들에서, BDTI(1296)는 CMP, RIE 등과 같은 평탄화 공정을 이용함으로써 유전체 캡핑 층(792)과 동일 평면일 수 있다.
BDTI(1296)를 사용함으로써, 이웃하는 주변 디바이스들, 예를 들어, HV NMOS(450-3) 사이에 전기적 격리가 형성될 수 있다. 일부 실시예들에서, BDTI(1296)는 (도 12a 및 도 12b에 도시된 바와 같이) 제1 기판(430)의 배면(430-2)으로부터 라인 AA'에 평행한 방향으로 형성될 수 있다. 이 예에서, 라인(BB')에 평행한 방향에서의 누설 전류는 얕은 트렌치 격리부들에 의존하지 않고 BDTI(1296)에 의해 감소될 수 있으며, 한편, 라인 AA'에 평행한 방향으로의 누설 전류는 STI(452)에 의해 감소될 수 있다.
일부 실시예들에서, BDTI(1296)는 또한 이웃하는 주변 디바이스들 사이에, 제1 기판(430)의 배면(430-2)으로부터 라인 BB'에 평행한 방향으로 형성될 수 있다. 이 예에서, 라인들 AA' 및 BB'를 따른 방향들에서의 누설 전류는 모두 STI(452)에 의존하지 않고 BDTI(1296)에 의해 감소될 수 있다.
반도체 제조에서 높은 밀도를 갖는 작은 피처들을 패터닝하는 것은 어려웠다. 복잡한 시스템들 및 공정들, 예를 들어 극자외선(EUV) 리소그래피 및 더블-패터닝은 활성 디바이스 영역들을 형성하기 위해 얕은 트렌치 격리부들과 같은 임계 구조들을 위해 구현된다. 따라서, 일부 영역들에서, 예를 들어 라인 AA'에 평행한 방향으로 주변 디바이스들 사이에서 STI(452)를 제거하면, 높은 밀도 및 작은 피치로 활성 디바이스 영역들을 패터닝하기 위한 공정 복잡도를 감소시킬 수 있다.
도 13은 본 개시내용의 일부 실시예에 따른, 도 4a 내지 도 4c, 도 5 내지 도 6, 도 7a 및 도 7b, 도 8a 및 도 8b, 및 도 9a 및 도 9b에 도시된 3D 메모리 디바이스, 및 도 10a 내지 도 10d, 도 11a 및 도 11b, 및 도 12a 및 도 12b에 도시된 또 다른 3D 메모리 디바이스에 대한 배면 깊은 트렌치 격리부를 형성하기 위한 예시적 제조 공정(1300)을 예시한다. 제조 공정(1300)에 도시된 동작들은 전체를 설명하는 것이 아니며, 예시된 동작들 중 임의의 동작 이전에, 이후에, 또는 이들 사이에서 다른 동작들도 역시 수행될 수 있다는 것을 이해해야 한다. 일부 실시예들에서, 예시적인 제조 공정(1300)의 일부 공정 단계들은 생략될 수 있거나, 단순화를 위해 여기에 설명되지 않은 다른 공정 단계들을 포함할 수 있다. 일부 실시예들에서, 제조 공정(1300)의 공정 단계들은 상이한 순서로 수행될 수 있고/있거나 변할 수 있다.
도 10에 도시된 바와 같이, 제조 공정(1300)은, 주변 회로가 제1 기판의 제1 측면(예를 들어, 전면) 상에 형성되는 공정 단계(S1310)에서 시작한다. 일부 실시예들에서, 주변 회로를 형성하는 단계는 하나 이상의 주변 디바이스 및 주변 상호접속 층을 형성하는 단계를 포함한다. 예로서, 주변 회로는, 주변 디바이스(450) 및 주변 상호접속 층(462)을 포함하는, 도 4a에 도시된 주변 회로(400)일 수 있다. 주변 회로에 대한 제조 공정은 주변 회로(400)에 대한 제조 공정과 유사할 수 있다.
일부 실시예들에서, 도 4a 내지 도 4c, 도 10a 및 도 10c의 STI(452)와 같은 STI는 주변 디바이스들(450) 사이에 형성될 수 있다. STI의 형성은, 리소그래피 및 에칭을 이용하여 제1 기판(430)의 제1 측면(430-1)을 패터닝하고, 절연 재료를 채우고, 절연 재료를 연마하여 동일 평면 표면을 형성하는 것을 포함하지만, 이것으로 제한되는 것은 아니다. STI(452)를 위한 절연 재료는 실리콘 산화물, 실리콘 산질화물, TEOS, 저온 산화물(LTO), 고온 산화물(HTO), 실리콘 질화물 등을 포함할 수 있다. STI(452)를 위한 절연 재료는 CVD(chemical vapor deposition), PVD(physical vapor deposition), PECVD(plasma-enhanced CVD), LPCVD(low pressure chemical vapor deposition), HDP(high density plasma) 화학 기상 증착, RTCVD(rapid thermal chemical vapor deposition), MOCVD(metal organic chemical vapor deposition), ALD(atomic layer deposition), 스퍼터링, 열 산화 또는 질화, 또는 이들의 조합들과 같은 기술들을 사용하여 배치될 수 있다. STI(452)의 형성은 또한, 개선된 전기적 격리를 위해 배치된 절연 재료를 치밀화하기 위한 고온 어닐링 단계를 포함할 수 있다.
일부 실시예에서, 복수의 주변 상호접속 VIA가 주변 회로(400)를 위해 형성될 수 있다. 주변 상호접속 VIA들은 도 6의 상호접속 VIA들(486)일 수 있고, 유사한 재료로 만들어질 수 있다. 주변 상호접속 VIA들은 주변 회로에 대한 전기적 연결들을 이루도록 형성된다. 주변 상호접속 VIA에 대한 제조 공정들은 리소그래피, 습식/건식 에칭을 이용한 트렌치 형성, 트렌치 내부에 전도성 재료를 배치하고 채우는 것, 및 CMP와 같은 평탄화 공정을 이용하여 트렌치 외부의 과잉 재료들을 제거하는 것을 포함한다.
일부 실시예들에서, 주변 회로 상에 본딩 층이 배치될 수 있다. 본딩 층은 도 6의 본딩 층(690)일 수 있고, 유사한 기술을 이용하여 제조될 수 있다.
공정 단계 S1320에서, 3D 메모리 어레이가 제2 기판 상에 형성된다. 일부 실시예들에서, 3D 메모리 어레이는 도 5의 3D 메모리 어레이(500)일 수 있다. 3D 메모리 어레이는 복수의 메모리 셀들 및 어레이 상호접속 층, 예를 들어, 메모리 셀들(340) 및 어레이 상호접속 층(562)을 포함할 수 있다. 일부 실시예들에서, 3D 메모리 어레이는 3D NAND 플래시 메모리이고, 적어도 메모리 스트링(예를 들어, 메모리 스트링(212)) 및 계단 구조를 포함할 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 제1 유전체 층(576) 및 제1 유전체 층(576)과 다른 제2 유전체 층(도면들에 도시되지 않음)을 갖는 복수의 유전체 층 쌍(본 명세서에서 "교번하는 유전 스택"으로도 지칭됨)을 형성하는 단계를 포함할 수 있다. 일부 실시예들에서, 제1 유전체 층은 실리콘 산화물일 수 있고, 제2 유전체 층은 실리콘 질화물일 수 있다. 교번하는 유전체 스택은 CVD, PVD, ALD, 스퍼터링, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 형성될 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 또한 다수의 에칭-트림(etch-trim) 공정들을 사용함으로써 교번하는 유전체 스택의 단부에 계단 구조를 형성하는 것을 포함할 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 제2 유전체 층을 제거하고 전도체 층(574)으로 대체하여 교번하는 전도체/유전체 스택(578)을 형성하는 것을 또한 포함할 수 있다. 제2 유전체 층을 전도체 층(574)으로 대체하는 것은, 제2 유전체 층을 제1 유전체 층(576)에 대해 선택적으로 습식 에칭하고 그 구조를 전도체 층(574)으로 채움으로써 수행될 수 있다. 전도체 층(574)은 폴리실리콘, W, Co, Ti, TiN, Ta, TaN, Al, Ni, 실리사이드들 등을 포함하고, CVD, ALD 등에 의해 채워질 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 교번하는 전도체/유전체 스택(578)을 통해 관통하는 복수의 메모리 스트링들(212)을 형성하는 것을 더 포함할 수 있다. 일부 실시예들에서, 메모리 스트링들(212)을 형성하기 위한 제조 공정들은 교번하는 전도체/유전체 스택(578)을 통해 수직으로 연장되는 채널 층(338)을 형성하는 것을 포함할 수 있다. 일부 실시예들에서, 채널 층(338)은 CVD, ALD 등과 같은 박막 퇴적 공정을 사용하여 형성된 폴리실리콘 층 또는 비정질 실리콘 층일 수 있다.
일부 실시예들에서, 메모리 스트링들(212)을 형성하기 위한 제조 공정들은 채널 층(338)과, 교번하는 전도체/유전체 스택(578)에서의 복수의 전도체/유전체 층 쌍들 사이에서 메모리 필름(337)을 형성하는 것을 더 포함할 수 있다. 메모리 필름(337)은, 차단 층, 저장 층, 및 터널링 층 같은 다수의 유전체 층들의 조합 같은 복합 유전체 층일 수 있다.
차단 층은 전자 전하들의 유출을 차단하기 위해 사용될 수 있다. 일부 실시예들에서, 차단 층은 실리콘 산화물 층 또는 실리콘 산화물/실리콘 산질화물/실리콘 산화물의 조합(SiO2-SiON-SiO2) 다층 스택일 수 있다. 일부 실시예들에서, 차단 층은 높은 유전 상수(하이-k) 유전체들(예를 들어, 알루미늄 산화물)을 포함한다. 일 예에서, 차단 층은 실리콘 질화물 퇴적 공정 후에 ISSG(In-Situ Steam Generation) 산화에 의해 형성된 실리콘 산화물 층을 포함한다.
저장 층은 전자 전하들을 저장하기 위해 사용될 수 있다. 저장 층에서의 전하들의 저장 및/또는 제거는 반도체 채널의 온/오프 상태 및/또는 컨덕턴스에 영향을 줄 수 있다. 저장 층은 다결정 실리콘(폴리실리콘) 또는 실리콘 질화물을 포함할 수 있다. 저장 층은 실리콘 질화물, 실리콘 산질화물, 실리콘 산화물과 실리콘 질화물의 조합, 또는 이들의 임의의 조합을 포함하지만, 이에 제한되지는 않는 재료들의 하나 이상의 필름을 포함할 수 있다. 일부 실시예들에서, 저장 층은 하나 이상의 퇴적 공정을 사용하여 형성된 질화물 층을 포함할 수 있다.
터널링 층은 전자 전하들(전자들 또는 정공들)을 터널링하기 위해 사용될 수 있다. 터널링 층은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 임의의 조합과 같은 유전체 재료들일 수 있다. 일부 실시예들에서, 터널링 층은 퇴적 공정을 사용하여 형성된 산화물 층일 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 메모리 스트링(212)의 단부에 에피택셜 층(580)을 형성하는 것을 더 포함할 수 있다. 일부 실시예들에서, 에피택셜 층(580)은 제2 기판에 형성될 수 있고, 에피택셜 플러그(580)로서 각각의 메모리 스트링(212)에 대응할 수 있다. 에피택셜 층(580)은 원하는 도핑 레벨로 주입될 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 다수의 워드 라인 접점들을 형성하는 것을 더 포함할 수 있다. 도 5에서 예시된 바와 같이, 각각의 워드 라인 접점 구조(214)는 계단 구조의 대응하는 전도체 층(574)으로의 전기적 접점을 형성하기 위하여 수직으로 연장될 수 있고, 여기서, 각각의 전도체 층(574)은 메모리 스트링들(212)의 메모리 셀을 개별적으로 제어할 수 있다. 일부 실시예들에서, 워드 라인 접점 구조들(214)을 형성하기 위한 제조 공정들은 건식/습식 에칭 공정을 이용하여 절연 층(568)을 통해 수직 개구부를 형성하는 것과, 그 다음으로, W, Co, Cu, Al, 도핑된 폴리-실리콘, 실리사이드들, 또는 이들의 임의의 조합과 같은 전도성 재료들로 개구부를 채우는 것을 포함한다. 전도성 재료들은 ALD, CVD, PVD, 도금, 스퍼터링, 또는 이들의 임의의 조합에 의해 배치될 수 있다.
일부 실시예들에서, 3D 메모리 어레이(500)의 제조는 메모리 스트링들을 워드 라인들 및 비트 라인들과 전기적으로 연결시킬 수 있는 어레이 상호접속 층(562)을 형성하는 것을 더 포함할 수 있다. 도 5에 도시된 바와 같이, 일부 실시예들에서, 어레이 상호접속 층(562)은 절연 층(568) 내에 하나 이상의 접점 구조들(564) 및 전도성 라인들(566)을 포함할 수 있다. 일부 실시예들에서, 어레이 상호접속 층(562)을 형성하기 위한 제조 공정들은 절연 층(568)을 형성하고, 이어서 절연 층(568) 내의 메모리 스트링들(212)과 접촉하여 복수의 비트 라인 접점(584)을 형성하는 것을 포함한다. 절연 층(568)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 또는 이들의 임의의 조합과 같은 유전체 재료들의 하나 이상의 층을 포함할 수 있다. 절연 층(568)은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 형성될 수 있다. 비트 라인 접점들(584)은 절연 층(568) 내에 개구들을 형성하고, 이어서 개구들을 CVD, PVD, 스퍼터링, 증발, 도금 또는 이들의 임의의 조합에 의해 퇴적된 W, Co, Cu, Al, Ti, TiN, Ta, TaN, 도핑된 실리콘, 실리사이드 또는 이들의 임의의 조합과 같은 전도성 재료들로 채움으로써 형성될 수 있다.
일부 실시예들에서, 어레이 상호접속 층(562)을 형성하기 위한 제조 공정들은 절연 층(568)에 하나 이상의 전도성 라인(566) 및 하나 이상의 접점 구조(564)를 형성하는 것을 더 포함한다. 전도체 층들 및 접점 층들은 W, Co, Cu, Al, Ti, Ta, TiN, TaN, 도핑된 실리콘, 실리사이드들, 또는 이들의 임의의 조합과 같은 전도체 재료들을 포함할 수 있다. 전도체 층들 및 접점 층들은 임의의 적절한 공지된 BEOL 방법들에 의해 형성될 수 있다.
일부 실시예들에서, 다른 구조들, 예를 들어 본딩 층(690), 상호접속 VIA들(586) 및 기판 접점(572)으로서 도 5 및 도 6에 예시된 본딩 층, 복수의 상호접속 VIA 및 기판 접점이 또한 3D 메모리 어레이 상에 형성될 수 있다.
일부 실시예들에서, 본딩 층(690)은 어레이 상호접속 층(562)을 완성한 후에 3D 메모리 어레이(500) 상에 배치될 수 있다. 본딩 층(690)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 이들의 임의의 조합과 같은 유전체 재료들을 포함할 수 있다. 본딩 층(690)은 또한 접착 재료들, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름, 감광성 폴리머 등을 포함할 수 있다. 본딩 층(690)은 CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합과 같은 하나 이상의 박막 퇴적 공정에 의해 형성될 수 있다.
일부 실시예에서, 상호접속 VIA(586)는, 3D 메모리 어레이(500) 상의 전도성 라인(566) 및/또는 접점 구조(564) 중 하나 이상과 전기적으로 연결된 어레이 상호접속 층(562)에 형성될 수 있다. 상호접속 VIA(586)의 제조 공정은 상호접속 VIA(486)와 유사할 수 있다.
공정 단계 S1330에서, 주변 회로가 3D 메모리 어레이와 본딩되어 3D 메모리 디바이스를 형성할 수 있으며, 여기서 3D 메모리 디바이스는 도 6의 3D 메모리 디바이스(600)일 수 있다.
일부 실시예에서, 주변 회로(400) 및 3D 메모리 어레이(500)는, 제품 설계 및 제조 전략에 따라, 다이 레벨에서(예를 들어, 다이-대-다이, 또는 칩-대-칩) 또는 웨이퍼 레벨에서(예를 들어, 웨이퍼-대-웨이퍼 또는 칩-대-웨이퍼) 함께 본딩될 수 있다. 웨이퍼 레벨에서의 본딩은 높은 처리량을 제공할 수 있으며, 여기서 주변 회로(400)를 갖는 제1 기판 상의 모든 다이들/칩들은 3D 메모리 어레이(500)를 갖는 제2 기판과 동시에 접합될 수 있다. 개별 3D 메모리 디바이스(600)는 웨이퍼 본딩 후에 다이싱될 수 있다. 한편, 다이싱 및 다이 테스트 후에 다이 레벨에서의 본딩이 수행될 수 있고, 여기서, 주변 회로(400) 및 3D 메모리 어레이(500)의 기능 다이들이 먼저 선택된 후 본딩되어 3D 메모리 디바이스(600)를 형성할 수 있어, 3D 메모리 디바이스(600)의 더 높은 수율을 가능하게 한다.
일부 실시예들에서, 3D 메모리 어레이(500)는 뒤집혀서 주변 회로 위에 위치될 수 있다(또는 그 반대도 가능하다). 3D 메모리 어레이(500)의 어레이 상호접속 층(562)은 주변 회로(400)의 주변 상호접속 층(462)과 정렬될 수 있다.
일부 실시예들에서, 어레이 상호접속 층(562)을 주변 상호접속 층(462)과 정렬하는 것은 3D 메모리 어레이(500)의 상호접속 VIA들(586)을 주변 회로(400)의 대응하는 상호접속 VIA들(486)과 정렬함으로써 수행된다. 그 결과, 대응하는 상호접속 VIA들은 본딩 계면(688)에서 접속될 수 있고 3D 메모리 어레이(500)는 주변 회로(400)와 전기적으로 연결될 수 있다.
일부 실시예들에서, 주변 회로(400) 및 3D 메모리 어레이(500)는 하이브리드 본딩에 의해 접합될 수 있다. 하이브리드 본딩, 특히 금속/유전체 하이브리드 본딩은 금속-금속 본딩 및 유전체-유전체 본딩을 동시에 획득하는 직접 본딩 기술(예를 들어, 솔더 또는 접착제와 같은 중간 층들을 사용하지 않고 표면들 사이에 본딩을 형성하는 것)일 수 있다. 도 6에 예시된 바와 같이, 3D 메모리 어레이(500)는 주변 회로(400)와 접합되며, 이에 의해 본딩 계면(688)을 형성할 수 있다.
일부 실시예들에서, 본딩 층은 하이브리드 본딩 전에 주변 회로(400) 및/또는 3D 메모리 어레이(500) 상에 형성될 수 있다. 본딩 계면(688)에서, 본딩은, 금속 대 금속 본딩 외에도, 실리콘 질화물 대 실리콘 질화물, 실리콘 산화물 대 실리콘 산화물, 또는 실리콘 질화물 대 실리콘 산화물 사이에서 발생할 수 있다. 일부 실시예들에서, 본딩 층은 또한 본딩 강도를 향상시키기 위한 접착 재료, 예를 들어, 에폭시 수지, 폴리이미드, 건식 필름 등을 포함할 수 있다.
일부 실시예들에서, 본딩 계면(688)에서의 본딩 강도를 향상시키기 위해 처리 공정이 이용될 수 있다. 처리 공정은 절연 층들(568/468)의 표면들이 화학적 결합들을 형성하도록 어레이 상호접속 층(562) 및 주변 상호접속 층(462)의 표면들을 준비할 수 있다. 처리 공정은 예를 들어 플라즈마 처리 공정(예를 들어, F, Cl 또는 H 함유 플라즈마를 이용함) 또는 화학적 공정(예를 들어, 포름산)을 포함할 수 있다. 일부 실시예들에서, 처리 공정은 진공 또는 불활성 분위기(예를 들어, 질소 또는 아르곤을 이용함)에서 약 250°C 내지 약 600°C의 온도에서 수행될 수 있는 열적 공정을 포함할 수 있다. 열적 공정은 상호접속 VIA들(586 및 486) 사이의 금속 상호확산을 야기할 수 있다. 그 결과, 상호접속 VIA들의 대응하는 쌍들에서의 금속성 재료들은 본딩 공정 후에 서로 혼합되거나 합금을 형성할 수 있다.
공정 단계 S1340에서, 제1 기판은 본딩 후에 박형화될 수 있다. 박형화 공정은 제1 기판의 제2 측면(또는 배면)으로부터 수행될 수 있고, 여기서, 제1 기판의 제2 측면은 제1 측면에 대향하고, 주변 디바이스들로부터 더 멀리 떨어져 있다.
일부 실시예들에서, 취급 웨이퍼(예를 들어, 유리, 플라스틱, 또는 실리콘)가 박형화 공정 전에 제2 기판에 부착될 수 있다. 일부 실시예에서, 기판 박형화 공정은, 연삭, 건식 에칭, 습식 에칭, 및 화학 기계적 연마(CMP) 중 하나 이상을 포함할 수 있다.
공정 단계 S1350에서, 유전체 캡핑 층은 제1 기판의 제2 측면 상에 퇴적될 수 있다. 유전체 캡핑 층은 도 7a 및 도 7b와 도 11a 및 도 11b의 유전체 캡핑 층(792)일 수 있고, 유사한 공정을 이용하여 유사한 재료로 형성될 수 있다.
공정 단계 S1360에서, 하나 이상의 트렌치들(예를 들어, 도 8a 내지 도 8b의 트렌치들(894) 및 도 11a 내지 도 11b의 트렌치들(1194))이 제1 기판의 제2 측면으로부터 형성된다. 트렌치들은 유전체 캡핑 층을 통해 관통하여 제1 기판 내로 연장된다. 일부 실시예들에서, 트렌치들(894)은 (도 8a 내지 도 8b에서와 같이) 주변 회로(400)의 STI(452)의 일부를 노출시킨다. 일부 실시예들에서, 트렌치들(1194)은 (도 11a 내지 도 11b에서와 같이) 주변 회로(400)의 주변 상호접속 층(462)의 일부를 노출시킨다.
일부 실시예에서, 트렌치(894/1194)는, 포토리소그래피 및 제1 기판(430)의 배면(430-2)으로부터의 에칭을 이용하여 형성될 수 있다. 에칭 공정은 습식 화학적 에칭, 반응성 이온 에칭(RIE), 고종횡비 플라즈마 에칭, 또는 이들의 임의의 조합을 포함할 수 있다. 일부 실시예들에서, 제1 기판(430)의 실리콘은 SF6 화학제를 이용하는 플라즈마 에칭 및 C4F8 화학제를 이용하는 보호 필름 퇴적을 교번함으로써 에칭될 수 있다.
공정 단계 S1370에서, 트렌치들(894/1294) 내부에 트렌치 절연 층을 퇴적한 이후에, 하나 이상의 배면 깊은 트렌치 격리부들(BDTI들), 예를 들어, 도 9a 내지 도 9b의 BDTI(996) 및 도 12a 내지 도 12b의 BDTI(1296)가 형성될 수 있다. 트렌치 절연 층(예를 들어, 도 9a 및 도 9b의 트렌치 절연 층(995) 및 도 12a 및 도 12b의 트렌치 절연 층(1295))은, 임의의 적절한 절연체, 예를 들어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, (F-, C-, N- 또는 H-도핑된 산화물 같은) 도핑된 실리콘 산화물, 테트라에톡시실란(TEOS), 폴리이미드, 스핀-온-글래스(SOG), 다공성 SiCOH, 실세스퀴옥산(SSQ) 같은 로우-k 유전체 재료, 또는 이들의 임의의 조합일 수 있다. 트렌치 절연 층(995/1295)은, CVD, PVD, PECVD, ALD, 고밀도-플라즈마 CVD(HDP-CVD), 스퍼터링, 스핀-코팅, 또는 이들의 임의의 조합 같은 하나 이상의 박막 퇴적 공정에 의해 퇴적될 수 있다. 일부 실시예들에서, 트렌치 절연 층(995/1295)을 치밀화하기 위해 퇴적 이후에 열 처리가 구현될 수 있다.
일부 실시예에서, BDTI들은 제1 기판의 제2 측면 상의 유전체 캡핑 층(792)과 동일 평면일 수 있다. 일부 실시예들에서, 트렌치들(894/1194) 외부에 있는 과잉 트렌치 절연 층(995/1295)이 평탄화 공정, 예를 들어, 화학 기계적 연마, 반응성 이온 에칭 등을 사용하여 제거될 수 있다.
요약하면, 본 개시내용은 메모리 디바이스에 대한 배면 깊은 트렌치 격리부의 다양한 실시예들 및 그 제조 방법들을 설명한다.
일부 실시예들에서, 3차원(3D) 메모리 디바이스는 제1 기판 상에 형성된 주변 회로를 포함한다. 주변 회로는 제1 기판의 제1 측면 상의 복수의 주변 디바이스들, 복수의 주변 디바이스들 상에 배치된 제1 상호접속 층, 및 제1 기판의 제2 측면 상의 깊은 트렌치 격리부를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 깊은 트렌치 격리부는 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성된다. 3D 메모리 디바이스는 또한 제2 기판 상에 형성된 메모리 어레이를 포함한다. 메모리 어레이는 적어도 하나의 메모리 셀, 및 적어도 하나의 메모리 셀 상에 배치된 제2 상호접속 층을 포함하고, 메모리 어레이의 제2 상호접속 층은 주변 회로의 제1 상호접속 층과 본딩되고, 복수의 주변 디바이스 중 적어도 하나는 적어도 하나의 메모리 셀과 전기적으로 연결된다.
일부 실시예들에서, 3차원(3D) 메모리 디바이스를 형성하기 위한 방법은, 제1 기판의 제1 측면 상에, 복수의 주변 디바이스들 및 제1 상호접속 층을 갖는 주변 회로를 형성하는 단계를 포함한다. 방법은 또한 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 갖는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 주변 회로의 제1 상호접속 층을 메모리 어레이의 제2 상호접속 층과 본딩하여, 주변 회로의 주변 디바이스들 중 적어도 하나가 메모리 어레이의 메모리 셀들 중 적어도 하나와 전기적으로 연결되도록 하는 단계를 더 포함한다. 방법은 또한 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 하나 이상의 깊은 트렌치 격리부들은 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성된다.
일부 실시예들에서, 3차원(3D) 메모리 디바이스를 형성하기 위한 방법은, 제1 기판의 제1 측면 상에, 복수의 주변 디바이스들, 제1 상호접속 층, 및 하나 이상의 얕은 트렌치 격리부들을 갖는 주변 회로를 형성하는 단계를 포함한다. 방법은 또한 제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 갖는 메모리 어레이를 형성하는 단계를 포함한다. 방법은 주변 회로의 제1 상호접속 층을 메모리 어레이의 제2 상호접속 층과 본딩하여, 주변 회로의 주변 디바이스들 중 적어도 하나가 메모리 어레이의 메모리 셀들 중 적어도 하나와 전기적으로 연결되도록 하는 단계를 더 포함한다. 방법은 또한 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계를 포함하고, 제1 및 제2 측면들은 제1 기판의 대향 측면들이고, 하나 이상의 깊은 트렌치 격리부들은 주변 디바이스들 중 적어도 하나에 대한 전기적 격리를 제공하도록 구성된다.
특정 실시예들의 전술한 설명은, 다른 사람들이, 본 기술분야의 통상의 기술 범위 내의 지식을 적용함으로써, 과도한 실험 없이, 그리고 본 개시내용의 일반적인 개념으로부터 벗어나지 않고, 다양한 응용들에 대해, 그러한 특정 실시예들을 용이하게 수정 및/또는 적응시킬 수 있는 본 개시내용의 일반적인 속성을 완전히 밝힐 것이다. 따라서, 이러한 적응들 및 수정들은, 본 명세서에 제시된 개시내용 및 지침에 기초하여, 개시된 실시예들의 등가물들의 의미 및 범위 내에 있는 것으로 의도된다. 본 명세서에서의 어구 또는 용어는 제한이 아니라 설명의 목적을 위한 것임을 이해해야 하고, 그래서, 통상의 기술자는 본 개시내용 및 지침을 고려하여 본 명세서의 용어 또는 어구를 해석하여야 한다.
본 개시내용의 실시예들은 지정된 기능들 및 이들의 관계들의 구현을 예시하는 기능적 빌딩 블록들의 도움으로 전술되었다. 이러한 기능적 빌딩 블록들의 경계들은 설명의 편의를 위해 본 명세서에서 임의로 정의되었다. 지정된 기능들 및 이들의 관계들이 적절히 수행되는 한, 대안적인 경계들이 정의될 수 있다.
발명의 내용 및 요약서 섹션들은 본 개시내용의 전부가 아니라 발명자(들)이 고려하는 바와 같은 하나 이상의 예시적인 실시예들을 설명할 수 있고, 따라서, 본 개시내용 및 첨부된 청구항들을 어떠한 방식으로도 제한하기를 의도하지 않는다.
본 개시내용의 폭 및 범위는 전술된 예시적인 실시예들 중의 임의의 것에 의해 제한되어야 하는 것이 아니라, 오직 다음의 청구항들 및 이들의 등가물들에 따라 정의되어야 한다.

Claims (20)

  1. 3차원(3D) 메모리 디바이스로서,
    제1 기판 상에 형성된 주변 회로 및 제2 기판 상에 형성된 메모리 어레이를 포함하고,
    상기 주변 회로는
    상기 제1 기판의 제1 측면 상의 복수의 주변 디바이스들;
    상기 복수의 주변 디바이스 상에 배치된 제1 상호접속 층;
    상기 제1 기판의 제2 측면 상의 깊은 트렌치 격리부- 상기 제1 및 제2 측면들은 상기 제1 기판의 대향 측면들이고, 상기 깊은 트렌치 격리부는 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성됨 -를 포함하고,
    상기 메모리 어레이는
    적어도 하나의 메모리 셀; 및
    상기 적어도 하나의 메모리 셀 상에 배치된 제2 상호접속 층- 상기 메모리 어레이의 상기 제2 상호접속 층은 상기 주변 회로의 상기 제1 상호접속 층과 본딩되고, 상기 복수의 주변 디바이스 중 적어도 하나는 상기 적어도 하나의 메모리 셀과 전기적으로 연결됨 -을 포함하는, 3D 메모리 디바이스.
  2. 제1항에 있어서, 상기 깊은 트렌치 격리부는 상기 제2 측면으로부터 상기 제1 기판을 통해 연장하고 상기 제1 기판의 상기 제1 측면 상의 얕은 트렌치 격리부와 접촉하는, 3D 메모리 디바이스.
  3. 제1항에 있어서, 상기 깊은 트렌치 격리부는 상기 제2 측면으로부터 상기 제1 기판을 통해 연장되고 상기 제1 기판의 상기 제1 측면 상의 상기 제1 상호접속 층과 접촉하는, 3D 메모리 디바이스.
  4. 제1항에 있어서, 상기 깊은 트렌치 격리부는 트렌치 절연 층을 포함하고, 상기 트렌치 절연 층은 실리콘 산화물, 실리콘 질화물 또는 실리콘 산질화물을 포함하는, 3D 메모리 디바이스.
  5. 제1항에 있어서, 상기 제1 기판의 상기 제2 측면 상의 유전체 캡핑 층을 더 포함하고, 상기 유전체 캡핑 층 및 깊은 트렌치 격리부는 동일 평면인, 3D 메모리 디바이스.
  6. 3차원(3D) 메모리 디바이스를 형성하는 방법으로서,
    제1 기판의 제1 측면 상에, 복수의 주변 디바이스들 및 제1 상호접속 층을 포함하는 주변 회로를 형성하는 단계;
    제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계;
    상기 주변 회로의 상기 제1 상호접속 층을 상기 메모리 어레이의 상기 제2 상호접속 층과 본딩하여, 상기 주변 회로의 상기 주변 디바이스들 중 적어도 하나가 상기 메모리 어레이의 상기 메모리 셀들 중 적어도 하나와 전기적으로 연결되도록 하는 단계; 및
    상기 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계- 상기 제1 및 제2 측면들은 상기 제1 기판의 대향 측면들이고, 상기 하나 이상의 깊은 트렌치 격리부들은 적어도 2개의 이웃하는 주변 디바이스들 사이에 전기적 격리를 제공하도록 구성됨 -를 포함하는, 방법.
  7. 제6항에 있어서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는
    상기 제1 기판의 상기 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계; 및
    상기 하나 이상의 트렌치들 내부에 트렌치 절연 층을 배치하는 단계를 포함하는, 방법.
  8. 제7항에 있어서, 상기 제1 기판의 상기 제2 측면 상에 하나 이상의 트렌치를 형성하는 단계는 상기 제1 기판을 통해 에칭하고 상기 제1 상호접속 층의 일부를 노출시키는 단계를 포함하는, 방법.
  9. 제7항에 있어서,
    상기 하나 이상의 트렌치들 외부에 위치되는 상기 트렌치 절연 층의 일부를 제거하는 단계를 더 포함하는, 방법.
  10. 제9항에 있어서, 상기 제거 공정은 화학 기계적 연마를 포함하는, 방법.
  11. 제6항에 있어서,
    상기 제1 및 제2 상호접속 층들을 본딩한 후에 상기 제2 측면으로부터 상기 제1 기판을 박형화하는 단계를 더 포함하는, 방법.
  12. 제6항에 있어서,
    하나 이상의 트렌치를 형성하기 전에, 상기 제1 기판의 상기 제2 측면 상에 유전체 캡핑 층을 배치하는 단계를 더 포함하는, 방법.
  13. 제6항에 있어서, 상기 주변 회로의 상기 제1 상호접속 층을 상기 메모리 어레이의 상기 제2 상호접속 층과 본딩하는 단계는 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함하는, 방법.
  14. 3차원(3D) 메모리 디바이스를 형성하는 방법으로서,
    제1 기판의 제1 측면 상에, 복수의 주변 디바이스들, 제1 상호접속 층, 및 하나 이상의 얕은 트렌치 격리부들을 포함하는 주변 회로를 형성하는 단계;
    제2 기판 상에, 복수의 메모리 셀들 및 제2 상호접속 층을 포함하는 메모리 어레이를 형성하는 단계;
    상기 주변 회로의 상기 제1 상호접속 층을 상기 메모리 어레이의 상기 제2 상호접속 층과 본딩하여, 상기 주변 회로의 상기 주변 디바이스들 중 적어도 하나가 상기 메모리 어레이의 상기 메모리 셀들 중 적어도 하나와 전기적으로 연결되도록 하는 단계; 및
    상기 제1 기판의 제2 측면 상에 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계- 상기 제1 및 제2 측면들은 상기 제1 기판의 대향 측면들이고, 상기 하나 이상의 깊은 트렌치 격리부들은 주변 디바이스들 중 적어도 하나에 대한 전기적 격리를 제공하도록 구성됨 -를 포함하는, 방법.
  15. 제14항에 있어서, 하나 이상의 깊은 트렌치 격리부들을 형성하는 단계는
    상기 제1 기판의 상기 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계; 및
    상기 하나 이상의 트렌치들 내부에 트렌치 절연 층을 배치하는 단계를 포함하는, 방법.
  16. 제15항에 있어서, 상기 제1 기판의 상기 제2 측면 상에 하나 이상의 트렌치를 형성하는 단계는 상기 제1 기판을 통해 에칭하고 상기 제1 상호접속 층의 일부를 노출시키는 단계를 포함하는, 방법.
  17. 제15항에 있어서, 상기 제1 기판의 상기 제2 측면 상에 하나 이상의 트렌치들을 형성하는 단계는 상기 제1 기판을 통해 에칭하고 상기 제1 기판의 상기 제1 측면 상의 상기 얕은 트렌치 격리부들 중 적어도 하나의 부분을 노출시키는 단계를 포함하는, 방법.
  18. 제15항에 있어서,
    평탄화 공정에 의해 상기 하나 이상의 트렌치들 외부에 위치되는 상기 트렌치 절연 층의 일부를 제거하는 단계를 더 포함하는, 방법.
  19. 제18항에 있어서, 상기 평탄화 공정은 화학 기계적 연마를 포함하는, 방법.
  20. 제14항에 있어서, 상기 주변 회로의 상기 제1 상호접속 층을 상기 메모리 어레이의 상기 제2 상호접속 층과 본딩하는 단계는 본딩 계면에서의 유전체-유전체 본딩 및 금속-금속 본딩을 포함하는, 방법.
KR1020217038182A 2019-10-14 2019-10-14 3차원 nand를 위한 비트 라인 드라이버들의 격리를 위한 구조 및 방법 KR20220002440A (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/110978 WO2021072588A1 (en) 2019-10-14 2019-10-14 Structure and method for isolation of bit-line drivers for three-dimensional nand

Publications (1)

Publication Number Publication Date
KR20220002440A true KR20220002440A (ko) 2022-01-06

Family

ID=69659472

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217038182A KR20220002440A (ko) 2019-10-14 2019-10-14 3차원 nand를 위한 비트 라인 드라이버들의 격리를 위한 구조 및 방법

Country Status (6)

Country Link
US (3) US11538780B2 (ko)
JP (1) JP7439136B2 (ko)
KR (1) KR20220002440A (ko)
CN (2) CN110870061A (ko)
TW (1) TWI720742B (ko)
WO (1) WO2021072588A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102480631B1 (ko) * 2018-10-01 2022-12-26 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR20210036535A (ko) * 2019-09-26 2021-04-05 에스케이하이닉스 주식회사 반도체 장치
KR20210088810A (ko) * 2020-01-06 2021-07-15 에스케이하이닉스 주식회사 3차원 반도체 메모리 장치
CN113451314B (zh) * 2020-02-20 2023-10-31 长江存储科技有限责任公司 具有xtacking架构的dram存储器件
CN112166501B (zh) * 2020-09-02 2024-01-09 长江存储科技有限责任公司 半导体器件中的片上电容器结构
JP2022045192A (ja) * 2020-09-08 2022-03-18 キオクシア株式会社 半導体装置およびその製造方法
CN114023755A (zh) * 2020-10-15 2022-02-08 长江存储科技有限责任公司 半导体器件结构及其制备方法
CN112289802B (zh) * 2020-10-16 2022-04-01 长江存储科技有限责任公司 位线驱动装置及其制造方法、3d存储器件及其制造方法
CN112331652A (zh) * 2020-10-23 2021-02-05 长江存储科技有限责任公司 位线驱动结构及三维存储器结构
KR20220056549A (ko) * 2020-10-28 2022-05-06 삼성전자주식회사 기판의 양면에 배치된 주변 회로 영역들을 갖는 반도체 소자 및 이를 포함하는 데이터 저장 시스템
CN112802849B (zh) * 2021-03-29 2023-04-21 长江存储科技有限责任公司 一种三维存储器及其制作方法
WO2022204959A1 (en) 2021-03-30 2022-10-06 Yangtze Memory Technologies Co., Ltd. Method for fabricating three-dimensional semiconductor device using buried stop layer in substrate
US20220320047A1 (en) * 2021-03-31 2022-10-06 Taiwan Semiconductor Manufacturing Company Limited Vertically stacked semiconductor device including a hybrid bond contact junction circuit and methods of forming the same
US11715520B2 (en) 2021-04-05 2023-08-01 Micron Technology, Inc. Socket structure for spike current suppression in a memory array
US11348640B1 (en) * 2021-04-05 2022-05-31 Micron Technology, Inc. Charge screening structure for spike current suppression in a memory array
BR112023012725A2 (pt) * 2021-05-12 2023-12-05 Yangtze Memory Tech Co Ltd Dispositivo de memória tridimensional, sistema e método para formar um dispositivo de memória tridimensional
US20230026052A1 (en) * 2021-07-22 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition bonding layer for joining two semiconductor devices
CN113629009B (zh) * 2021-08-09 2023-10-24 长鑫存储技术有限公司 半导体硅化钴膜层的制造方法、半导体器件及存储器
US11862215B2 (en) 2021-08-27 2024-01-02 Micron Technology, Inc. Access line having a resistive layer for memory cell access
WO2023028902A1 (zh) * 2021-08-31 2023-03-09 长江存储科技有限责任公司 半导体器件及其制作方法、nand存储器件
CN113690173B (zh) * 2021-09-07 2024-04-05 长江存储科技有限责任公司 三维存储器及其制备方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3553053B2 (ja) * 2002-07-29 2004-08-11 沖電気工業株式会社 残膜厚分布の推定方法、残膜厚分布の推定方法を利用したパターニング用マスクの設計方法、及び、残膜厚分布の推定方法を利用して設計されたパターニング用マスクを用いた半導体素子の製造方法
US7816759B2 (en) 2008-01-09 2010-10-19 Infineon Technologies Ag Integrated circuit including isolation regions substantially through substrate
JP6055240B2 (ja) 2012-08-29 2016-12-27 ラピスセミコンダクタ株式会社 半導体装置及びその製造方法
JP6401974B2 (ja) * 2014-08-27 2018-10-10 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9362298B2 (en) * 2014-09-11 2016-06-07 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device and manufacturing method thereof
CN107658317B (zh) * 2017-09-15 2019-01-01 长江存储科技有限责任公司 一种半导体装置及其制备方法
US10510738B2 (en) 2018-01-17 2019-12-17 Sandisk Technologies Llc Three-dimensional memory device having support-die-assisted source power distribution and method of making thereof
CN108428709A (zh) * 2018-04-10 2018-08-21 德淮半导体有限公司 图像传感器及其制造和控制方法
WO2020034152A1 (en) * 2018-08-16 2020-02-20 Yangtze Memory Technologies Co., Ltd. Embedded pad structures of three-dimensional memory devices and fabrication methods thereof
CN109037224A (zh) 2018-09-19 2018-12-18 长江存储科技有限责任公司 存储器结构
US11037952B2 (en) 2018-09-28 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Peripheral circuitry under array memory device and method of fabricating thereof

Also Published As

Publication number Publication date
US20220328441A1 (en) 2022-10-13
US20210111137A1 (en) 2021-04-15
TWI720742B (zh) 2021-03-01
US11538780B2 (en) 2022-12-27
WO2021072588A1 (en) 2021-04-22
JP7439136B2 (ja) 2024-02-27
TW202115882A (zh) 2021-04-16
CN110870061A (zh) 2020-03-06
CN115036325A (zh) 2022-09-09
US20230078865A1 (en) 2023-03-16
JP2022534615A (ja) 2022-08-02

Similar Documents

Publication Publication Date Title
JP7439136B2 (ja) 3次元nandのためのビットラインドライバーのアイソレーションのための構造および方法
JP7341253B2 (ja) 3次元nandのためのキャパシタを形成するための構造および方法
TWI788608B (zh) 用於形成三維記憶體裝置的方法
CN110506334B (zh) 具有深隔离结构的三维存储器件
JP7331119B2 (ja) 複数の機能性チップを伴う三次元nandメモリデバイスの集積
TWI744733B (zh) 用於半導體元件陣列的後側深隔離結構
CN118139414A (zh) 三维存储器件及其制造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal