KR20190102092A - 증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 pvd 챔버의 확장 - Google Patents

증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 pvd 챔버의 확장 Download PDF

Info

Publication number
KR20190102092A
KR20190102092A KR1020197024788A KR20197024788A KR20190102092A KR 20190102092 A KR20190102092 A KR 20190102092A KR 1020197024788 A KR1020197024788 A KR 1020197024788A KR 20197024788 A KR20197024788 A KR 20197024788A KR 20190102092 A KR20190102092 A KR 20190102092A
Authority
KR
South Korea
Prior art keywords
power supply
pulse
target
substrate
microseconds
Prior art date
Application number
KR1020197024788A
Other languages
English (en)
Inventor
징징 리우
루도빅 고데트
스리니바스 디. 네마니
용메이 첸
아난타 케이. 수브라마니
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190102092A publication Critical patent/KR20190102092A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3458Electromagnets in particular for cathodic sputtering apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

본 개시내용의 실시예들은 인-시튜 이온 주입 능력을 갖는 스퍼터링 챔버를 제공한다. 일 실시예에서, 스퍼터링 챔버는 타겟, 타겟에 결합된 RF 및 DC 전력 공급부들, 평탄한 기판 수용 표면을 포함하는 지지 몸체, 지지 몸체에 결합된 바이어스 전원, 바이어스 전원에 결합된 펄스 제어기 ― 펄스 제어기는, 바이어스 전력이, 약 100-200 마이크로초의 펄스 지속기간 및 약 1-200 Hz의 펄스 반복 주파수를 갖는 정규 펄스 모드, 또는 약 100-300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수를 갖는 고주파 펄스 모드로 전달되도록, 바이어스 전원에 펄스 제어 신호를 인가함 ―, 및 처리 챔버의 바닥을 통해 형성된 동심 펌핑 포트를 갖는 배기 조립체를 포함한다.

Description

증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 PVD 챔버의 확장
본 개시내용의 실시예들은 일반적으로, 금속 및 유전체 층들의 형성 및 이온 주입을 위한 장치들 및 방법들에 관한 것이다.
반도체 디바이스들의 제조는, 부분적으로, 도핑된 영역들을 형성하기 위해 반도체 기판 내로의 도핑 원소들의 도입을 수반한다. 도핑 원소들은, 전기 캐리어들을 생성하기 위해 반도체 물질과 결합하고, 따라서 반도체 물질의 전기 전도율을 변경하도록 선택된다. 전기 캐리어들은 (N형 도펀트들에 의해 생성된) 전자들 또는 (P형 도펀트들에 의해 생성된) 정공들일 수 있다. 도입된 도펀트 원소들의 농도는 결과로 생성된 영역의 전기 전도율을 결정한다. 많은 그러한 N형 및 P형 도핑 영역들이 생성되어 트랜지스터 구조들, 격리 구조들 및 다른 전자 구조들을 형성하며, 이들은 집합적으로 반도체 디바이스로서 기능한다. 일부 다른 응용들은 표면 기능 개질을 위해 게이트 금속 물질들로의 금속들의 주입을 포함할 수 있다.
반도체 기판 내에 도펀트들을 도입하는 한 방법은 이온 주입에 의한 것이다. 이온 주입은, 원하는 도펀트 물질이 이온 공급원에서 이온화되고 이온들이 전기장에서 가속되어 이온 빔을 형성하는 프로세스이다. 이온 빔은, 이온 빔의 에너지를 제어하는 것에 의해 제어가능한 도핑 프로파일로 이온들을 기판 내로 주입하기 위해 기판으로 지향된다. 임의의 주어진 기간에 걸쳐 기판에 전달될 수 있는 주입 용량은 주로, 이온 빔의 전류 밀도 및 전력의 함수이다. 이온 주입 프로세스들이 직면하는 하나의 문제는, 주입 전류가 특정 임계치(예를 들어, 약 75 밀리암페어)를 초과하여 상승할 때, 이온 빔이 다루기 어려워질 수 있고 잇따라 열 손상 또는 불규칙한 주입 프로파일들이 발생한다는 것이다. 특정 도펀트 물질들, 예컨대, 희토류 금속들은 높은 녹는점으로 인해, 이온화하기 위해서는 더 높은 이온 빔 전력을 필요로 하기 때문에, 이온 빔 전력에 대한 제한은 바람직하지 않다.
그러므로, 다양한 유형들의 물질들의 이온 주입을 위한 개선된 플라즈마 도핑 시스템에 대한 필요성이 존재한다.
본 개시내용의 실시예들은 일반적으로, 높은 막 품질을 갖는, 금속으로부터 유전체까지의 다양한 물질들을 위한 인-시튜 이온 주입 능력을 갖는 개선된 스퍼터링 챔버를 제공한다. 일 실시예에서, 스퍼터링 챔버는 처리 챔버의 처리 영역에 배치된 제1 표면 및 제1 표면에 대향하는 제2 표면을 갖는 타겟, 타겟에 결합된 RF 및 DC 전력 공급부, 기판 지지부의 전체 직경에 걸쳐 평탄한 기판 수용 표면을 포함하는 지지 몸체, 지지 몸체에 결합된 바이어스 전원, 바이어스 전원에 결합된 펄스 제어기 ― 펄스 제어기는, 바이어스 전력이, 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz의 펄스 반복 주파수를 갖는 정규 펄스 모드, 또는 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수를 갖는 고주파 펄스 모드로 전달되도록, 바이어스 전원에 펄스 제어 신호를 인가함 ―, 및 처리 챔버의 바닥을 통해 형성된 동심 펌핑 포트를 갖는 배기 조립체를 포함한다.
다른 실시예에서, 스퍼터링 챔버는 스퍼터링 타겟, 스퍼터링 타겟의 표면에 인접하여 배치된 회전 마그네트론, 스퍼터링 타겟에 결합된 RF 전력 공급부, 스퍼터링 타겟에 결합된 DC 전력 공급부, 기판 수용 표면을 포함하는 지지 몸체 ― 기판 수용 표면 바로 아래의 적어도 일부는 기판 수용 표면의 직경에 걸쳐 균일한 두께를 가짐 ―, 지지 몸체에 결합된 바이어스 전원; 상기 바이어스 전원에 결합된 펄스 제어기 ― 펄스 제어기는, 바이어스 전력이, 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz의 펄스 반복 주파수를 갖는 정규 펄스 모드, 또는 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수를 갖는 고주파 펄스 모드로 전달되도록, 바이어스 전원에 펄스 제어 신호를 인가함 ―, 처리 챔버의 측벽에 배치된 가스 도관, 처리 챔버의 측벽에 결합된 차폐부 ― 차폐부는 처리 영역의 일부를 적어도 부분적으로 국한하기 위해 하방으로 연장됨 ―, 및 처리 챔버의 바닥을 통해 형성된 펌핑 포트를 갖는 배기 조립체 ― 펌핑 포트는 처리 챔버의 중심을 통과하는 중심 축에 대해 대칭으로 배치됨 ― 를 포함한다.
또 다른 실시예에서, 기판을 처리하기 위한 방법이 제공된다. 방법은, 처리 챔버의 처리 영역에 배치된 기판 지지부 상에 기판을 제공하는 단계 ― 처리 챔버는, 처리 챔버에 배치된 타겟에 결합된, RF 전력 공급부 및 DC 전력 공급부를 가짐 ―, 처리 영역을 mTorr 범위로 펌핑하는 단계, 처리 영역에 플라즈마를 형성하기 위해 타겟에 RF 전력을 제공하는 단계, 기판의 표면 상에 막 층을 형성하기 위해 타겟에 DC 전력을 제공하는 단계, 및 막 층의 미리 결정된 두께가 형성된 후에, RF 전력 공급부 및 DC 전력 공급부를 끄고, 처리 영역에 남아 있는 이온들을 기판의 표면 내에 주입하기 위해 약 1 kW 내지 약 30 kW의 바이어스 전력을 짧은 기간 동안 기판 지지부에 제공하는 단계를 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 개시내용의 실시예들에 따른 예시적인 처리 챔버를 예시한다.
도 2는 클러스터 툴의 처리 위치에 결합된 처리 챔버의 등각도이다.
도 3a는, 본 개시내용의 일 실시예에 따른, 타겟 측으로부터 본 마그네트론의 등각도이다.
도 3b는 본 개시내용의 일 실시예에 따른 마그네트론의 일부의 저면도이다.
도 3c는 본 개시내용의 일 실시예에 따른 마그네트론의 일부의 저면도이다.
도 3d는 본 개시내용의 일 실시예에 따른 마그네트론의 일부의 저면도이다.
도 4는, 본 개시내용의 실시예들에 따른, 탄소 기재의 막을 형성하기 위한 예시적인 프로세스 흐름도이다.
도 5a-5i는 도 4의 프로세스 흐름도를 사용하여 형성된 탄소 기재의 막의 개략적인 단면도들이다.
도 6a는, 본 개시내용의 실시예들에 따른, 바이어스 전력의 함수로서 DC 전압 및 투여용량의 그래프이다.
도 6b는, 본 개시내용의 실시예들에 따른, 바이어스 전력의 함수로서 스퍼터 속도의 그래프이다.
도 6c는, 본 개시내용의 실시예들에 따른, 이온 종 주입 프로파일의 모의 데이터의 그래프이다.
도 7a 및 도 7b는, 본 개시내용의 실시예들에 따른, 각각, 정규 펄스 모드 및 고주파 펄스 모드에 대한 듀티 사이클 및 펄스 변동의 개략도들이다.
도 1은 본 개시내용의 실시예들에 따른 예시적인 처리 챔버(100)를 예시한다. 처리 챔버(100)는 일반적으로, 상부 프로세스 조립체(108), 프로세스 키트(150) 및 페디스털 조립체(120)를 포함하고, 이들 모두는 처리 영역(110)에 배치된 기판(105)을 처리하도록 구성된다. 도시된 실시예에서, 처리 챔버(100)는 타겟(132)으로부터 기판(105) 상으로 단일 또는 다중 조성 물질을 증착시킬 수 있는 스퍼터링 챔버, 예컨대, 물리 기상 증착(PVD) 챔버이다. PVD 챔버가 논의되고 도시되지만, 본 개시내용의 실시예들은 다른 프로세스 챔버들, 예컨대, 플라즈마 식각 챔버, 플라즈마 강화 화학 기상 증착 챔버, 플라즈마 처리 챔버, 이온 주입 챔버, 또는 다른 적절한 진공 처리 챔버에 동등하게 적용가능하다는 것이 고려된다. 다른 제조업자들로부터의 처리 챔버들을 포함하는 다른 처리 챔버들이, 본원에 설명된 개시내용의 실시예들 중 하나 이상으로부터 이익을 얻도록 적응될 수 있다는 것이 고려된다.
처리 챔버(100)는, 처리 영역(110) 또는 플라즈마 구역을 둘러싸는, 측벽들(104), 바닥 벽(106), 및 상부 프로세스 조립체(108)를 갖는 챔버 몸체(101)를 포함한다. 챔버 몸체(101)는 전형적으로, 양극산화되거나 양극산화되지 않은 단일 블록의 알루미늄, 또는 스테인리스 강의 용접된 플레이트들로부터 제조된다. 일 실시예에서, 측벽들은 알루미늄을 포함하고, 바닥 벽은 스테인리스 강 플레이트를 포함한다. 측벽들(104)은 기판(105)의, 처리 챔버(100)로의 진입 및 처리 챔버(100)로부터의 진출을 제공하기 위해 슬릿 밸브(124)를 포함한다.
프로세스 키트(150)는, 예를 들어, 스퍼터링 증착물들을 구성요소 표면들로부터 세정하거나, 침식된 구성요소들을 교체 또는 수리하거나, 또는 챔버(100)를 다른 프로세스들에 대해 적응시키기 위한, 챔버(100)로부터 용이하게 제거될 수 있는 다양한 구성요소들을 포함할 수 있다. 일 실시예에서, 프로세스 키트(150)는 차폐부(160) 및 절연체 링 조립체(180)를 포함한다. 차폐부(160)는 어댑터(102)의 레지(161)에 고정되고 그에 의해 지지된다. 어댑터(102)는 측벽들(104)에 결합되고, 상부 프로세스 조립체(108) 및 절연체 링 조립체(180)의 제거를 돕도록 구성된다. 차폐부(160)는 하방으로 연장되고, 일정한 직경을 갖는 일반적으로 관형 형상을 가질 수 있다. 일 실시예에서, 차폐부(160)는 가스 도관들(144)에 인접한 지점까지 측벽들(104)을 따라 하방으로 연장된다. 일 실시예에서, 차폐부(160)는 접지에 전기적으로 결합된다. 차폐부(160)는 기판 수용 표면 위의 처리 영역(110)의 일부를 적어도 부분적으로 에워싼다. 페디스털 조립체(120) 및 차폐부(160)와 협력하는, 처리 챔버(100)의 상부 프로세스 조립체(108)의 구성요소들은 처리 영역(110) 외부의 표류 플라즈마 및 입자 생성을 감소시킨다. 특히, 차폐부(160)의 관형 형상은 처리 영역(110)에 형성된 플라즈마를 기판(105) 위의 내부 처리 영역에 국한하고, 그에 의해, 기판(105)의 표면과의 플라즈마 상호작용을 증가시키면서, 스퍼터링된 물질의, 챔버 구성요소들, 예컨대, 측벽들(104) 상으로의 바람직하지 않은 증착을 감소시킨다.
도 2는 클러스터 툴(103)의 처리 위치에 결합된 처리 챔버(100)의 등각도이다. 클러스터 툴(103)은 또한, 처리 챔버(100)에서 증착 프로세스를 수행하기 이전에 또는 이후에 기판에 대해 하나 이상의 처리 단계를 수행하도록 적응된 다른 처리 챔버들(도시되지 않음)을 포함할 수 있다. 예시적인 클러스터 툴(103)은, 캘리포니아주 산타 클라라에 소재한 어플라이드 머티어리얼스(Applied Materials)로부터 입수가능한 센츄라™(Centura™) 또는 엔듀라™(Endura™) 시스템을 포함할 수 있다. 클러스터 툴(103)은 하나 이상의 로드 락 챔버(도시되지 않음), 하나 이상의 프로세스 챔버, 및 냉각 챔버(도시되지 않음)를 포함할 수 있고, 이들 모두는 중앙 이송 챔버(103A)에 부착된다. 일 예에서, 클러스터 툴(103)은 다수의 기판 처리 작동들, 예컨대, 주기적 층 증착, 화학 기상 증착(CVD), 물리 기상 증착(PVD), 원자 층 증착(ALD), 식각, 사전 세정, 탈가스, 어닐링, 배향 및 다른 기판 프로세스들을 수행하도록 구성되는 처리 챔버들을 가질 수 있다. 이송 툴, 예를 들어, 이송 챔버(103A)에 배치된 로봇(도시되지 않음)은 기판들을 클러스터 툴(103)에 부착된 하나 이상의 챔버로 그리고 그로부터 이송하는 데에 사용될 수 있다.
페디스털 조립체
페디스털 조립체(120)는 플랫폼(128)에 결합된 지지부(126)를 일반적으로 포함한다. 플랫폼(128)은 전형적으로, 스테인리스 강 또는 알루미늄과 같은 금속성 물질로 제조된다. 지지부(126)는 알루미늄 또는 세라믹으로 구성될 수 있다. 냉각 채널(125)은 매질 공급원(도시되지 않음)으로부터 열 전달 매질을 순환시킴으로써 지지부(126)의 온도를 조절하기 위해 플랫폼(128) 내에 배치된다. 대안적으로, 냉각 플레이트가 사용될 수 있다. 그러한 경우에, 냉각 플레이트는 지지부(126)의 바닥 표면에 대해 배치될 수 있다. 냉각 플레이트는 냉각 플레이트와 지지부(126) 사이의 균일한 열 전달을 촉진하기 위해 양호한 열 전도율을 갖는 물질로 제조될 수 있다. 예를 들어, 냉각 플레이트는 구리, 스테인리스 강, 텅스텐, 또는 몰리브데넘 등으로 제조될 수 있다. 냉각 플레이트는 낮은 선형 열 팽창 계수를 갖거나 플랫폼(128) 및/또는 지지부(126)와 일치되는 열 팽창 계수를 가져야 한다. 일 예에서, 냉각 플레이트는 텅스텐 또는 몰리브데넘으로 제조된다.
페디스털 조립체(120)는 챔버(100)의 측벽들(104) 상에 장착된 외팔보 또는 바닥(106)으로부터 지지될 수 있다. 도시된 실시예에서, 페디스털 조립체(120)는 플랫폼(128)을 통해 챔버(100)의 측벽들(104)에 의해 지지된다. 챔버 벽, 예컨대, 측벽(104)의 일부가 접지된다. 추가적으로 또는 대안적으로, 플랫폼(128)은 챔버 벽을 통해 접지될 수 있다. 회로 배선 복잡성들을 감소시키기 위해 페디스털 조립체(120)가 고정형 구성으로서 도시되지만, 페디스털 조립체(120)는 리프트 메커니즘(122)의 리프트 핀들(123)에 의해 상이한 처리 위치들 간에 수직으로 이동하도록 구성될 수 있다는 것이 고려된다.
지지부(126)는 처리 동안 기판(105)을 수용하고 지지하는 기판 수용 표면(127)을 갖고, 기판 수용 표면(127)은 타겟(132)의 스퍼터링 표면(133)에 실질적으로 평행하다. 지지부(126)는 또한, 기판(105)의 돌출 에지 이전에 종료될 수 있는 주변 에지(129)를 갖는다. 지지부(126)는 정전 척, 세라믹 몸체, 가열기, 냉각기, 또는 이들의 임의의 조합일 수 있다. 일 실시예에서, 지지부(126)는, 몸체에 배치된 하나 이상의 전극(예컨대, 바이어스 전극(143))을 갖는 세라믹 몸체이다. 다른 실시예에서, 지지부(126)는, 몸체에 배치된 하나 이상의 전극(예컨대, 바이어스 전극(143))을 갖는 유전체 몸체를 포함하는 정전 척이다. 유전체 몸체는 전형적으로, 높은 열 전도율의 유전체 물질, 예컨대, 열분해 질화붕소, 질화알루미늄, 질화규소, 알루미나 또는 등가 물질로 제조된다.
페디스털 조립체(120)는 기판(105)과 함께 증착 링(502)을 지지한다. 증착 링(502)은 일반적으로, 지지부(126)를 둘러싸는 환형 형상 또는 환형 밴드로 형성된다. 증착 링(502)은 처리 동안 지지부(126)의 주변 에지들(129) 상에의 스퍼터 증착물들의 형성을 감소시키도록 구성된다. 도시된 실시예에서, 증착 링(502)은 또한, 플라즈마 종들의 진입이 주변 에지 주위에서 기판(105)의 후면과 접촉하는 것을 방해하거나 방지하기 위해 증착 링(502)의 최상부 표면으로부터 상방으로 연장되는 범프 또는 돌출부를 포함할 수 있다. 증착 링(502)은 스퍼터링 플라즈마에 의한 침식에 저항할 수 있는 물질, 예를 들어, 금속성 물질, 예컨대, 스테인리스 강, 티타늄 또는 알루미늄, 또는 세라믹 물질, 예컨대, 산화알루미늄으로 제조될 수 있다. 일 실시예에서, 증착 링(502)은 스테인리스 강 물질로 형성된다.
리프트 메커니즘(122)은, 슬릿 밸브(124)를 통과하는 기판 이송 메커니즘(도시되지 않음, 처리 챔버(100)의 외부에 배치됨)과 기판의 교환을 용이하게 하기 위해, 기판을 페디스털 조립체(120)로부터 소정의 거리에 위치시키도록 리프트 핀들(123)을 이동시키게 구성된다.
일 실시예에서, 바이어스 전원(141)이 제공되고, 지지부(126)에 내장된 바이어스 전극(143)에 정합 네트워크(137)를 통해 연결된다. 바이어스 전극(143)은 도시된 바와 같이 지지부(126)의 직경에 걸쳐 연장되는 단일 전극 플레이트일 수 있다. 대안적으로, 바이어스 전극(143)은 임의의 원하는 배열, 예컨대, 동심 원형 패턴, 나선형 패턴, 또는 방사상 스포크 패턴 등의 개별 바이어스 전극들(143)로 구성될 수 있다. 바이어스 전원(141)은 높은 음의 바이어스 전압을 지지부(126)에, 따라서, 기판 수용 표면(127) 상에 배치된 기판(105)에 인가한다. 다양한 예들에서, 바이어스 전원(141)은 약 0.1 kW 내지 약 50 kW, 예컨대, 약 0.5 kW 내지 약 30 kW, 예를 들어, 약 1 kW 내지 약 25 kW의 바이어스 전력을 제공하도록 구성될 수 있다. 일 실시예에서, 바이어스 전원(141)은 약 10 kW 내지 약 16 kW, 예를 들어, 약 14 kW의 바이어스 전력을 제공하도록 구성된다.
바이어스 전극(143)은, 지지부(126)에 배치된, 적어도 전기 바이어스 절연체(161) 및/또는 내측 밀봉 링(163)에 의해 지지부(126) 내에 직접 또는 간접적으로 지지될 수 있다. 전기 바이어스 절연체(161) 및 내측 밀봉 링(163)은 유전체 물질들, 예컨대, 석영, 및 다른 절연체들을 포함할 수 있다. 내측 밀봉 링(163)은 도시된 바와 같이 바이어스 전극(143)으로의 바이어스 전원(141)의 배선을 허용하기 위해 전기 바이어스 절연체(161)를 통해 연장될 수 있다. 전기 바이어스 절연체(161) 및 내측 밀봉 링(163)은 지지부(126)와 바이어스 전극(143) 사이에 낮은 커패시턴스를 제공하도록 크기가 정해질 수 있다. 일부 실시예들에서, 전기 바이어스 절연체(161)는 지지부(126)의 상부 부분(예를 들어, 기판 수용 표면(127)에 인접하거나 바로 아래의 부분)을 페디스털 조립체(120)로부터 전기적으로 격리하기 위해 크기가 정해지고, 그에 의해, 높은 바이어스 전압(예를 들어, 1 kW 이상)이 바이어스 전극(143)에 공급될 때 처리 챔버(100) 내의 아킹을 방지한다. 도시된 실시예에서, 전기 바이어스 절연체(161)는 지지부(126)의 전체 직경에 걸쳐 방사상으로 연장된다. 바이어스 전원(141)은 양극성 DC 공급부 또는 RF 공급부를 사용할 수 있다.
낮은 압력 또는 진공 환경에서 기판과 페디스털 조립체 사이의 냉각 가스(예를 들어, 헬륨)의 열 전달을 용이하게 하기 위해 페디스털 조립체(120)의 상부 표면에 가스 도관들 또는 홈들을 제공하는 종래의 페디스털 설계들과 달리, 본 개시내용의 페디스털 조립체(120)는 가스 도관들 또는 홈들 없이 평탄한 기판 수용 표면(127)을 사용한다. 종래의 페디스털 설계의 공통적인 문제점은, 그러한 설계가, 페디스털이 기판 바로 위의 고밀도 플라즈마 및 높은 바이어스 전압(예를 들어, 400 W 이상)을 겪을 때 페디스털의 상부 표면에서 아킹을 쉽게 야기할 것이라는 점이다. 이러한 아킹이 발생하는 하나의 이유는, 페디스털의 평활한 상부 표면에 있는 가스 도관들 또는 홈들이 공기 갭들을 형성하고 불연속성을 페디스털 표면에 도입하며, 불연속성의 부근에서 전기장을 왜곡시켜, 아킹을 더 가능성있게 만드는 것이다. 가스 도관들 또는 홈들은 또한, 기판 수용 표면의 직경에 걸친 고체간 접촉 면적을 감소시킴으로써 기판과 페디스털 사이의 열 전달의 효율을 감소시킨다.
냉각 채널(125)과 기판(105) 사이의 열 전달 효율을 개선하고 아킹을 감소시키기 위해, 본 개시내용의 페디스털 조립체(120)의 지지부(126)는 지지부(126)의 전체 직경에 걸쳐 평탄한 기판 수용 표면(127)을 갖는 세라믹 몸체를 사용한다. 본원에 설명된 바와 같은 "평탄한" 기판 수용 표면은 표면에 형성된 임의의 공동들, 홀들 또는 홈들 없이 완전히 평평한 표면으로서 정의될 수 있다. 도시된 실시예에서, 기판 수용 표면(127) 바로 아래의 지지부(126)의 적어도 일부, 또는 기판(105)과 물리적으로 접촉하는 지지부(126)의 부분은 기판 수용 표면(127)의 직경에 걸쳐 균일한 두께를 갖는다. 벌크 세라믹으로 제조된 지지부(126)를 가짐으로써, 지지부(126)가 프로세스 동안 사용되는 높은 바이어스 전압에 의해 쉽게 파괴되는 것을 방지한다. 평탄한 기판 수용 표면(127)이, 제거하지 않으면 후면 냉각을 위한 가스 도관들 또는 홈들을 사용하는 종래의 페디스털 설계에서 보았을, 페디스털 조립체와 기판 사이의 공기 갭들을 제거하기 때문에, 심지어 높은 바이어스 전압이 바이어스 전극(143)에 공급될 때에도 아킹이 크게 최소화되거나 제거된다. 기판(105)이 기판 수용 표면(127)과 완전히 고체간 접촉하기 때문에, 열 전달 효율이 또한 개선된다. 그러므로, 페디스털 조립체(120)는 훨씬 더 높은(즉, 1 kW 초과의) 바이어스 전력을 취급하는 능력을 갖고, 이는 스퍼터링 챔버가, 종래의 PVD 챔버로는 과거에 가능하지 않았던 인-시튜 이온 주입 프로세스를 수행할 수 있게 한다.
펄스 제어기(173)가 바이어스 전원(141)에 연결되고 그에 펄스 제어 신호들을 인가할 수 있다. 대안적으로, 높은 음의 바이어스 전압이 일정한 방식으로 제공될 수 있다. 펄스 제어기(173)는 바이어스 전원(141)에 대한 원하는 펄스 모드 및/또는 듀티 사이클을 생성하도록 프로그래밍 가능하다. 예를 들어, 바이어스 전원(141)은 정규 펄스 모드 또는 고주파 펄스 모드로 작동될 수 있다. 정규 펄스 모드에서, 높은 음의 바이어스 전압은 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz, 예를 들어, 약 50 Hz 내지 약 100 Hz의 펄스 반복 주파수를 가질 수 있다. 높은 음의 바이어스 전압은 1% 내지 10%의 듀티 사이클로 제공될 수 있다. 고주파 펄스 모드에서, 높은 음의 바이어스 전압은 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz, 예를 들어, 약 300 Hz 내지 약 600 Hz의 펄스 반복 주파수를 가질 수 있다. 높은 음의 바이어스 전압은 1% 내지 20%의 듀티 사이클로 제공될 수 있다. 펄스 지속기간 또는 반복 주파수는, 지정된 막 두께 및 성장률을 달성하도록 조정될 수 있다.
아래에 더 상세히 논의될 바와 같이, 막 응력을 해제하고 막 거칠기를 평활화하는, 증착된 막의 이온 주입을 위해, 짧은 기간 동안 스퍼터링 증착의 각각의 주기의 끝에서 바이어스 전원(141)이 켜질 수 있다. 바이어스 전원(141)은, 스퍼터링 증착 프로세스로부터 남겨진 양의 스퍼터링된 이온들을 끌어당기기 위해 바이어스 전극(143)에 높은 음의 바이어스 전압을 제공한다. 특히, 높은 음의 바이어스 전압은 지지부(126) 앞의 플라즈마 시스에 전위 구배를 생성함으로써, 스퍼터링된 이온들의 방향성을 기판(105) 쪽으로 증가시키고, 이는 차례로, 양으로 이온화된 스퍼터링된 도펀트 물질들을 기판 표면 쪽으로 그리고 기판 표면 상으로 가속시키기 위한 힘을 제공한다. 바이어스 전압이 높기 때문에, 기판에 충돌되는 이온들의 운동 에너지는 더 클 것이다. 결과적으로, 더 큰 도핑 프로파일이 달성된다.
일부 실시예들에서, 탐침(151)이, 주입 DC 전압, DC 전류(또는, RF 공급부가 사용되는 경우에 RF 전압/전류), 및 순시 투여용량을 동시에 또는 거의 동시에 측정하고/거나 모니터링하기 위해, 정합 네트워크(137)와 내장된 바이어스 전극(143) 사이의 피드 지점(153) 상에 위치될 수 있다. 측정된 전류는, 투여용량을 제어하거나 종료점을 결정하는데에 사용될 수 있는, 이온 용량률 및/또는 용량 프로파일을 추정하는 데에 사용된다. 탐침(151)은 전압/전류 탐침일 수 있다. 대안적으로, 탐침(151)은 개별 계기들, 예컨대, 전압 센서 및 전류 센서에 의해 대체될 수 있다.
정확한 투여 제어를 위해 플라즈마 종들을 모니터링하기 위해, 처리 챔버(100) 내부에 생성된 플라즈마의 여기된 종들로부터의 광학 방출들을 정량적으로 측정하도록, 질량 분포 센서, 예컨대, 광학 방출 분광계(OES)(170)가 처리 챔버(100)에 제공될 수 있다. 도시된 일 실시예에서, 광학 방출 분광계(170)는 챔버 몸체(101) 상에 형성된 석영 윈도우(172)에 인접하여 배치된다. 광학 방출 분광계(170)는 석영 윈도우(172) 옆에 배치된 렌즈(174)를 포함할 수 있다. 분광계(176)에 연결된 렌즈(174)는, 석영 윈도우(172)를 통과하는 플라즈마 또는 여기된 종들의 방사선을 시준하도록 구성될 수 있다. 그 다음, 분광계(176)는 파장에 기초하여 방사선을 스펙트럼적으로 분리하고 하나 이상의 공간적으로 분리된 파장에 대한 검출 신호들을 생성한다. 여기된 종들, 예컨대, 이온화된 스퍼터링된 도펀트 물질들은, 광을 방출함으로써, 여기된 에너지 수준으로부터 더 낮은 에너지 수준으로 다시 감쇠할 수 있다. 별개의 원자 에너지 수준들 사이에 전이가 있기 때문에, 방출된 광의 파장은 여기된 종들을 식별하는 데에 사용될 수 있다. 추가적으로, 방출된 광들의 강도는 또한, 플라즈마의 상이한 종들의 농도 또는 분포를 반영할 수 있다. 그러므로, 광학 방출 분광계(170)의 사용은, 이러한 방출들의 일부를 검출함으로써, 정확한 투여 제어를 위해 플라즈마 종들을 모니터링할 수 있다. 제어기(190)의 데이터 획득 디바이스는, 분리된 파장, 따라서 플라즈마의 이온 종들의 속성들을 나타내는 데이터를 주기적 샘플링 레이트로 수집하는 데에 사용될 수 있다. 수집된 데이터는, 처리 동안 기판 표면 상의 충격의 정도를 제어하기 위해 기판 상의 프로세스 파라미터들, 예컨대, 바이어스 전압을 조정하기 위해, 바이어스 전원(141) 또는 처리 챔버(100)의 임의의 다른 제어가능한 구성요소들에 대한 제어 신호들을 생성하기 위해 처리되고 분석될 수 있다.
중심에 위치된 배기 조립체
처리 챔버(100)로부터의 소비된 처리 가스들, 오염물질들 및 부산물들을 배기 영역(111)을 통해 제거하는 배기 조립체(148)에 의해 처리 영역(110)에 진공이 유지된다. 배기 조립체(148)는 처리 챔버(100)의 바닥에 인접하여 배치된 진공 펌프(149)를 포함한다. 진공 펌프(149)는 배기 도관(171)을 통해 배기 영역(111)과 유체 연통한다. 배기 도관(171)은 처리 챔버(100)의 바닥을 통해 형성된 펌핑 포트(146)에 연결된다. 스로틀 밸브(147)가 사용되지 않을 때 진공 펌프(149)의 격리를 허용하기 위해 배기 도관(171)에 제공된다. 진공 펌프(149)는 임의의 적합한 펌프, 예컨대, 터보 분자 펌프일 수 있다. 스로틀 밸브(147)는, 처리 영역(110)으로부터 배기 영역(111)으로 그리고 펌핑 포트(146)를 통해 처리 챔버(100) 밖으로 배기 가스들을 균형있게 인출함으로써 처리 영역(110) 내에서의 mTorr 범위의 정확한 압력 제어를 허용하기 위해 진공 펌프(149)와 함께 사용된다.
다양한 실시예들에서, 펌핑 포트(146)는 페디스털 조립체(120) 바로 아래에 배치된다. 일 실시예에서, 펌핑 포트(146)는 처리 챔버(100)와 실질적으로 동심일 수 있다. 예를 들어, 펌핑 포트(146)는 처리 챔버(100)의 중심을 수직으로 통과하는 중심 축(194)에 대해 대칭으로 배치될 수 있다. 중심 축(194)에 대한 펌핑 포트(146)의 대칭적 위치설정은, 처리 챔버의 전체 둘레에 대해 기판 표면 위에서의 그리고 처리 챔버(100)로부터 방사상 하방으로 그리고 외측으로의 더 균일한 가스 유동을 허용하기 위해 처리 챔버(100) 내에서의 개선된 가스 유동 대칭을 제공한다. 따라서, 중심에 위치된 펌핑 포트는 균일한 플라즈마 형성을 촉진하고, 챔버에서의 펌핑 포트의 비대칭성으로 인해 균일한 플라즈마 밀도를 제공하는 데 어려움을 갖는 종래의 플라즈마 시스템들에 비해, 처리 영역(110)에서의 플라즈마 종들 및 가스 유동의 더 큰 제어를 허용한다.
덮개 조립체
상부 프로세스 조립체(108)는 RF 공급원(181), 직류(DC) 공급원(182), 어댑터(102), 모터(193), 및 덮개 조립체(130)를 포함할 수 있다. 덮개 조립체(130)는 일반적으로, 타겟(132), 마그네트론 시스템(189) 및 덮개 인클로저(191)를 포함한다. 상부 프로세스 조립체(108)는 도 1에 도시된 바와 같이 폐쇄 위치에 있을 때 측벽들(104)에 의해 지지된다. 세라믹 타겟 절연체(136)는 덮개 조립체(130)의 절연체 링 조립체(180), 타겟(132) 및 어댑터(102) 사이의 진공 누설을 방지하기 위해 그 사이에 배치된다. 위에서 논의된 바와 같이, 어댑터(102)는 측벽들(104)에 결합되고, 상부 프로세스 조립체(108) 및 절연체 링 조립체(180)의 제거를 돕도록 구성된다.
처리 위치에 있을 때, 타겟(132)은 어댑터(102)에 인접하여 배치되고, 처리 챔버(100)의 처리 영역(110)에 노출된다. 타겟(132)은 스퍼터링 또는 이온 주입 프로세스 동안 기판(105) 상에 주입되거나 증착될 물질을 함유한다. 절연체 링 조립체(180)는 타겟(132)을 차폐부(160) 및 챔버 몸체(101)로부터 전기적으로 격리시키기 위해 타겟(132)과 차폐부(160) 및 챔버 몸체(101) 사이에 배치된다.
스퍼터링 처리 동안, 타겟(132)은, 높은 압력 하에서 RF 공급원(181) 및 직류(DC) 공급원(182)에 배치된 공급원 전력에 의해, 처리 챔버의 접지된 영역(예를 들어, 챔버 몸체(101) 및 어댑터(102))에 대해 바이어싱된다. RF 및 DC 전원들의 조합은, RF 단독 공급원에 비해 더 낮은 전체 RF 전력이 처리 동안에 사용되는 것을 허용하고, 이는 기판의 플라즈마 관련 손상을 감소시키고 디바이스 수율을 증가시키는 것을 도울 수 있다. 추가적으로, RF 전력을 DC 전력공급된 타겟에 전달하는 것은 타겟 전압을 감소시킬 수 있고, DC 전력 유도된 시스를 둘러싸고 지배하는 대응하는 시스를 제공할 수 있다. RF-DC 전력공급된 타겟은 타겟 아래에 형성된 더 두꺼운 플라즈마 시스, 및 타겟과 플라즈마 사이의 전체적인 더 높은 전압 강하를 갖는 반면에, 플라즈마의 전도율은 플라즈마에서의 증가된 이온 농도로 인해 증가될 것이고, 이는 낮은 내지 중간 정도의 RF 전력들에서 타겟 전압 강하를 만들 것이다. 따라서, 아르곤 이온(Ar+)은 더 두꺼운 시스에 의해 훨씬 더 가속되어, 더 높은 스퍼터링 이온 에너지를 제공한다. 더 두꺼운 플라즈마 시스는 또한, 산란 수율을 증가시킬 것이다. RF 전력의 추가는 또한, 증착되는 이온들에 대한 기판 바이어스의 영향들을 개선하는 것을 돕고 따라서 막의 단차 피복성을 개선하는 것을 돕는, 플라즈마의 이온화를 증가시킨다.
일 실시예에서, RF 공급원(181)은 RF 전력을 타겟(132)에 효율적으로 전달하도록 구성된 RF 전원(181A) 및 RF 정합부(181B)를 포함한다. 대부분의 예들에서, RF 전원(181A)은 약 1 MHz 내지 약 128 MHz, 예컨대, 약 2 MHz 내지 약 13.56 MHz의 주파수로, 약 0 내지 약 20 kWatt, 예컨대, 약 2 kW 내지 약 10 kW의 전력들로 RF 전류들을 생성할 수 있다. 일 예에서, RF 전원(181A)은 5 kW의 전력으로 13.56 MHz의 주파수로 RF 전류를 제공하도록 구성된다. DC 공급원(182)의 DC 전력 공급부(182A)는 약 0 내지 약 10 kWatt의 DC 전력, 예를 들어, 약 4 kW를 전달할 수 있다. 프로세스 동안 정규 펄스 모드 또는 고주파 펄스 모드가 적응되는지에 관계없이, RF 전원(181A)은 타겟에서 약 0 내지 약 33 kWatt/m2의 RF 전력 밀도를 생성하도록 구성될 수 있고, DC 공급원(182)은 약 0 내지 약 66 kWatt/m2의 전력 밀도를 전달하도록 구성될 수 있다.
펄스 제어기(173)는 RF 전원(181A) 및 DC 공급원(182) 각각에 연결될 수 있고 그에 펄스 제어 신호들을 인가할 수 있다. 대안적으로, 공급원 전력은 일정한 방식으로 제공될 수 있다. 펄스 제어기(173)는 RF 전원(181A) 및 DC 공급원(182)에 대한 원하는 펄스 모드 및/또는 듀티 사이클 관계를 생성하도록 프로그래밍 가능하다. 유사하게, RF 전력 및 DC 전력은 정규 펄스 모드 또는 고주파 펄스 모드로 작동될 수 있다. 정규 펄스 모드에서, RF 전력 및 DC 전력은 약 300 마이크로초 내지 약 800 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz, 예를 들어, 약 50 Hz 내지 약 100 Hz의 펄스 반복 주파수를 가질 수 있다. RF 전력 및 DC 전력은 50% 내지 90%의 듀티 사이클로 제공될 수 있다. 고주파 펄스 모드에서, RF 전력 및 DC 전력은 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz, 예를 들어, 약 300 Hz 내지 약 600 Hz의 펄스 반복 주파수를 가질 수 있다. RF 전력 및 DC 전력은 1% 내지 10%의 듀티 사이클로 제공될 수 있다. 어느 모드에서든, RF 공급원(181) 및 DC 공급원(182)은 동기적으로 또는 비동기적으로 펄스화될 수 있다. 펄스 지속기간 또는 반복 주파수는, 지정된 막 두께 및 성장률을 달성하도록 조정될 수 있다. 고 펄스 고주파수 전원은 타겟 화학 결합들을 파괴하고 타겟 물질 이온 종들의 상당 부분으로 플라즈마를 생성하는 것을 돕는다.
스퍼터링 증착 프로세스 동안, 아르곤과 같은 가스가, 챔버 몸체(101)의 측벽들(104)에 배치된 가스 도관들(144)(오직 하나만 도시됨)을 통해 가스 공급원(142)으로부터 처리 영역(110)에 공급된다. 가스 공급원(142)은 비반응성 가스, 예컨대, 아르곤, 크립톤, 헬륨 또는 크세논을 포함할 수 있고, 이는 타겟(132)으로부터의 물질에 에너지적으로 충돌하고 스퍼터링할 수 있다. 가스 공급원(142)은 또한, 기판 상에 층을 형성하기 위해 스퍼터링 물질과 반응할 수 있는 임의의 원하는 반응성 가스, 예컨대, 산소 함유 가스 또는 질소 함유 가스 중 하나 이상을 포함할 수 있다. 다른 도핑 종들을 가능하게 하기 위해 다수의 가스 공급원들 및 가스 도관들이 제공될 수 있다. 플라즈마는 가스로부터 기판(105)과 타겟(132) 사이에 형성된다. 플라즈마 내의 이온들이 타겟(132)을 향해 가속되어, 물질이 타겟(132)으로부터 떨어져 나오게 한다. 그 다음, 떨어져 나온 타겟 물질은 기판 상에 증착되거나, 페디스털 조립체(120)에 대한 높은 바이어스 전력의 인가 시에 기판 내로 주입된다.
소비된 프로세스 가스 및 부산물들은, 처리 챔버(100)의 처리 영역(110)의 압력을 제어하기 위해 소비된 프로세스 가스를 수용하고 소비된 프로세스 가스를 조정가능한 위치 스로틀 밸브(147)를 갖는 배기 도관(171)으로 지향시키는 펌핑 포트(146)를 통해, 챔버(100)로부터 배기된다. 배기 도관(171)은 하나 이상의 진공 펌프(149)에 연결된다. 전형적으로, 처리 동안 챔버(100)에서의 스퍼터링 가스의 압력은, 대기압 미만의 수준들, 예컨대, 진공 환경, 예를 들어, 약 0.6 mTorr 내지 약 400 mTorr의 압력으로 설정된다. 일 실시예에서, 처리 압력은 약 5 mTorr 내지 약 100 mTorr로 설정된다. 오직 하나의 가스 도관(144)만이 도시되지만, 처리 챔버의 측부를 통해 다른 도핑 종들의 유동을 가능하게 하고, 도핑 종들이 챔버 작동 압력에서 확산하는 것을 허용하기 위해, 측벽들(104)에 다수의 가스 도관들이 배치될 수 있다는 것이 고려된다.
덮개 인클로저(191)는 일반적으로, 전도성 벽(185), 중심 피드(184) 및 차폐부(186)를 포함한다. 도시된 바와 같은 일 구성에서, 전도성 벽(185), 중심 피드(184), 타겟(132) 및 모터(193)의 일부가 후방 영역(134)을 에워싸고 형성한다. 후방 영역(134)은 타겟(132)의 후면 상에 배치된 밀봉된 영역이고, 처리 동안 타겟(132)에 생성된 열을 제거하기 위해 처리 동안 유동 액체로 일반적으로 충전된다. 차폐부(186)는, 타겟(132)에 전달되는 RF에너지를 에워싸고 이 에너지가 클러스터 툴(103)(도 2)에 배치된 다른 처리 챔버들과 간섭하고 이에 영향을 주는 것을 방지하도록 배치된 하나 이상의 유전체 물질을 포함할 수 있다. 일 실시예에서, 전도성 벽(185) 및 중심 피드(184)는 모터(193) 및 마그네트론 시스템(189)을 지지하도록 구성되어, 모터(193)는 처리 동안 마그네트론 시스템(189)을 회전시킬 수 있다. 모터(193)는 유전체 층(193B)의 사용에 의해 전력 공급부들로부터 전달되는 RF 또는 DC 전력으로부터 전기적으로 격리될 수 있다.
마그네트론 조립체
효율적인 스퍼터링을 제공하기 위해, 마그네트론 시스템(189)은, 타겟(132)의 스퍼터링 표면(133)에 인접한 처리 영역(110)에 자기장을 생성하기 위해 상부 프로세스 조립체(108)의 타겟(132)의 후방에 위치된다. 자기장은 전자들 및 이온들을 포획함으로써 플라즈마 밀도를 증가시키고 또한 스퍼터링 속도를 증가시키도록 생성된다. 본 개시내용의 일 실시예에 따르면, 마그네트론 시스템(189)은 회전 플레이트(313), 외측 극(321) 및 내측 극(322)을 포함하는 공급원 마그네트론 조립체(320)를 포함한다. 회전 플레이트(313)는, 공급원 마그네트론 조립체(320)에서의 자기장 생성 구성요소들의 위치설정이 처리 챔버(100)의 중심 축(194)에 대해 이동되는 것을 허용한다.
도 3a, 도 3b 및 도 3d는, 타겟(132)의 스퍼터링 표면(133) 측에서 볼 때, 중심 축(194)에 대해 제1 방사상 위치에 위치되는 공급원 마그네트론 조립체(320)를 예시한다. 도 3c는, 중심 축(194)에 대해, 아래에서 논의되는 바와 같이 회전 방향 및 속도를 조정함으로써 생성되고 제1 방사상 위치와 상이한 제2 방사상 위치에 위치될 때의 공급원 마그네트론 조립체(320)를 예시한다. 회전 플레이트(313)는 일반적으로, 수직 방향의 제1 자기 극성의 외측 극(321)을 제1 자기 극성의 것과 반대인 제2 자기 극성을 갖는 내측 극(322)과 자기적으로 결합하고 지지하도록 적응된다. 내측 극(322)은 갭(326)에 의해 외측 극(321)으로부터 분리되고, 극들 각각은 일반적으로, 하나 이상의 자석 및 극편(329)을 포함한다. 2개의 극들(321, 322) 사이에 연장되는 자기장은 타겟(132)의 스퍼터링 면의 제1 부분에 인접하여 플라즈마 영역("P")(도 3d)을 생성한다. 플라즈마 영역("P")은, 갭(326)의 형상을 일반적으로 따르는 고밀도 플라즈마 영역을 형성한다.
일 실시예에서, 도 3a-3d에 도시된 바와 같이, 마그네트론 시스템(189)은, RF 공급원(181)으로부터 타겟(132)으로의 RF 전력의 전달에 의해 생성된 개선된 이온화 전위의 사용을 보상하기 위해, 플라즈마 영역("P")에 형성된 플라즈마의 강도를 감소시키기 위한 비-폐쇄 루프 설계(예를 들어, 개방 루프 설계)이다. 플라즈마의 전자들에 인가된 에너지의 더 효율적인 결합, 및 전자들의 에너지를 증가시키고 플라즈마에서의 이온화 수준들을 증진시키는 다른 전자-플라즈마 상호작용 현상들로 인해, RF 전력공급된 플라즈마들이, 플라즈마의 원자들(예를 들어, 가스 원자들 및 스퍼터링된 원자들)의 이온화를 증가시키는 데에 DC 전력공급된 플라즈마보다 더 효과적이라는 점을 주목할 것이다.
일반적으로, "폐쇄 루프" 마그네트론 구성은 마그네트론의 외측 극이 마그네트론의 내측 극을 둘러싸고 연속 루프인 극들 사이에 갭을 형성하도록 형성된다. 폐쇄 루프 구성에서, 타겟의 표면을 통해 나타나고 재진입하는 자기장들은 "폐쇄 루프" 패턴을 형성하고, 전자들을 타겟의 표면 근처에 폐쇄 패턴으로 국한하는 데에 사용될 수 있으며, 이는 종종 "레이스트랙" 유형 패턴으로 지칭된다. 개방 루프와 반대로, 폐쇄 루프 마그네트론 구성은 전자들을 국한하고 타겟(132)의 스퍼터링 표면(133) 근처에 고밀도 플라즈마를 생성하여 스퍼터링 수율을 증가시킬 수 있다.
개방 루프 마그네트론 구성에서, 내측 극과 외측 극 사이에 포획된 전자들은 마그네트론의 개방 단부들에 생성된 B-필드들로부터 이동하고, 누출되고, 탈출할 것이고, 따라서, 전자들의 감소된 속박으로 인해 스퍼터링 프로세스 동안 짧은 기간 동안만 전자들을 유지할 것이다. 그러나, 놀랍게도, 본원에 설명된 바와 같은 개방 루프 마그네트론 구성의 사용이, 본원에 설명된 타겟의 RF 및 DC 스퍼터링과 함께 사용될 때, 기판 표면에 걸쳐 개선된 물질 조성 균일성을 제공한다는 것을 밝혀냈다.
마그네트론 시스템(189)의 일 실시예에서, 모터(193)에 의해 전력공급되는 회전 샤프트(193A)는 중심 축(194)을 따라 연장되고, 회전 플레이트(313), 균형추(315) 및 공급원 마그네트론 조립체(320)를 포함하는 방사상 이동 메커니즘(310)을 지지한다. 그에 의해, 방사상 이동 메커니즘(310)은, 모터(193)가 시계 방향(R1) 및 반시계 방향(R2)(도 3b, 3c)으로 회전할 때, 공급원 마그네트론 조립체(320)를 상보적인 방사상 방향으로, 예컨대, 중심 축(194)으로부터 떨어져 또는 중심 축 쪽으로 방사상으로(즉, 도 3a의 참조 번호들("S")) 이동시킨다.
마그네트론 시스템(189)의 일 실시예의 등각도인 도 3a는 일반적으로 교차 암(314)을 포함하고, 교차 암은 그의 중심에서 클램프(314A)에 의해 회전 샤프트(193A)에 고정된다. 교차 암(314)의 일 단부는 균형추(315)를 지지한다. 균형추(315)로부터 회전 축(194)을 가로지른 교차 암(314)의 다른 단부는, 오프셋 수직 피봇 축(319)을 중심으로 한 회전을 위해 공급원 마그네트론 조립체(320)를 회전가능하게 지지하는 데에 사용되는 피봇(312) 또는 회전 베어링을 지지한다. 일 구성에서, 피봇 축(319)은 회전 축(194)에 실질적으로 평행하다. 이 구성에서, 교차 암(314) 상의 마그네트론(320)은 회전 중심(194)에 대해, 상이하고 상보적인 방사상 방향들로 교차 암이 스윙하는 것을 허용한다. 공급원 마그네트론 조립체(320)의 질량 중심이 피봇 축(319)으로부터 소정의 거리에 있기 때문에 상보적인 움직임이 발생한다. 따라서, 교차 암(314) 및 공급원 마그네트론 조립체(320)가 모터(193)에 의해 회전될 때, 공급원 마그네트론 조립체(320)에 작용하는 구심 가속도는, 공급원 마그네트론 조립체가, 모터(193)가 회전하는 방향에 따라 일 방향 또는 다른 방향으로 피봇 축(319)을 중심으로 피봇하게 한다. 공급원 마그네트론 조립체(320)의 질량 중심은, 도 3a-3d에 예시된 구성의 경우, 내측 극(322) 내에 있을 수 있거나 회전 축(194)에 더 가까울 수 있는, 공급원 마그네트론 조립체(320)의 무게 중심으로서 정의될 수 있다.
2개의 위치들 사이의 전환은, 회전 축(194)을 중심으로 한 회전 샤프트(193A)의 회전 그리고 따라서 회전 축(194)을 중심으로 한 전체 마그네트론 시스템(189)의 회전의 방향을 반전시키는 것에 의해 영향을 받는다. 도 3d의 상면도에 예시된 바와 같이, 회전 샤프트(193A)가 교차 암(314)을 회전 축(194)을 중심으로 시계 방향(R1)으로 회전시킬 때, 관성력 및 방해하는 힘은 공급원 마그네트론 조립체(320)가, 공급원 마그네트론 조립체(320)에 고정된 범퍼(316)가 교차 암(314)의 일 측에 맞물릴 때까지, 피봇 축(319)을 중심으로 반시계 방향으로 회전하게 한다. 이 처리 구성 또는 마그네트론 처리 위치에서, 공급원 마그네트론 조립체(320)는, 타겟(132)의 에지에 가까운, 그의 방사상 외측 위치에 배치되어, 공급원 마그네트론 조립체(320)는 기판(105)의 스퍼터 증착 또는 스퍼터 주입을 위해 플라즈마를 지지할 수 있다. 이 위치는 마그네트론 "밖" 위치 또는 제1 처리 위치로 지칭될 수 있다.
교번적으로, 도 3c의 상면도에 예시된 바와 같이, 회전 샤프트(193A)가 교차 암(314)을 회전 축(194)을 중심으로 반시계 방향(R2)으로 회전시킬 때, 관성력 및 방해하는 힘은 공급원 마그네트론 조립체(320)가, 공급원 마그네트론 조립체(320)에 고정된 범퍼(317)(도 3a)가 교차 암(314)의 다른 측에 맞물릴 때까지, 피봇 축(319)을 중심으로 시계 방향으로 회전하게 한다. 이 구성에서, 공급원 마그네트론 조립체(320)는, 공급원 마그네트론 조립체(320)가 타겟의 중심 근처의 플라즈마를 지지하여 이 영역을 세정할 수 있도록, 타겟(132)의 에지로부터 멀고 회전 축(194)에 더 가까운 내측 위치에 배치된다. 이 위치는 마그네트론 "안" 위치 또는 제2 처리 위치로 지칭될 수 있다.
일 실시예에서, 위에 언급되고 도 3a 및 3d에 예시된 바와 같이, 공급원 마그네트론 조립체(320)는 플라즈마 영역("P")에 형성된 플라즈마의 강도를 감소시키기 위해 비-폐쇄 루프 설계로 형성된다. 이 구성에서, 비-폐쇄 루프 설계는, 원호 중심으로부터 갭(326)의 중심까지 연장되는 반경(D)(도 3b 및 3d)을 갖는 원호 형상으로 형성된다. 원호는, 원호의 반경(D)의 중심이 제1 처리 위치에서 마그네트론에 배치될 때 회전 축(194)의 중심과 동연이도록 크기가 정해지고 위치될 수 있다. 일 실시예에서, 형성된 원호는 약 7.3 인치(185 mm) 내지 8.3 인치(210 mm)의 반경(D)을 갖고, 타겟(132)은 약 17.8 인치(454 mm)의 직경을 갖는다. 일 실시예에서, 원호는 원형 형상이고, 약 70 내지 약 180 도, 예컨대, 약 130 도의 각도(341)(도 3d)에 대응한다. 일 실시예에서, 회전 축(194)으로부터 피봇 축(319) 까지의 거리는 대략 원호의 반경(D)과 동일하다.
다양한 실시예들에서, 외측 극(321) 및 내측 극(322)은 각각, 갭(326)의 어느 한 측 상에 어레이 패턴으로 위치되고 극편(329)(도 3a)에 의해 캡핑되는 복수의 자석들(323)을 포함한다. 일 구성에서, 외측 극(321)의 자석들(323)의 북(N) 극들은 회전 플레이트(313)로부터 떨어져 위치되고, 내측 극(322)의 자석들(323)의 남(S) 극들은 회전 플레이트(313)로부터 떨어져 위치된다. 일부 구성들에서, 자기 요크(도시되지 않음)가 내측 및 외측 극들의 자석들과 회전 플레이트(313) 사이에 배치된다. 일 예에서, 공급원 마그네트론 조립체(320)는, 18개의 자석들이 내부에 포함된 외측 극(321), 및 17개의 자석들이 내부에 포함된 내측 극(322)을 포함한다. 일 실시예에서, 자석들(323)은 각각, 자석의 첨단에서 또는 그 근처에서, 약 1.1 kGauss 내지 약 2.3 kGauss의 강도를 갖는 자기장을 생성하도록 구성된다.
예시적인 프로세스
위에서 개략적으로 설명된 바와 같은 개선된 스퍼터링 챔버는, CVD 및 ALD 시스템들에 비해 높은 막 품질을 갖는, 다양한 금속들 및 유전체들의 저온 증착을 제공할 수 있는 것으로 입증되었다. 개선된 스퍼터링 챔버로부터 이익을 얻을 수 있는 하나의 예시적인 프로세스는 3D NAND 플래시 메모리 응용들에서 사용되는 탄소 기재의 하드마스크 막들이다. 탄소 기재 물질들, 예컨대, 비정질 수소화 탄소 막들(a-C:H)은, 그의 화학적 불활성, 광학적 투명성, 및 양호한 기계적 속성들로 인해, 금속들, 유전체 물질들, 또는 폴리-Si를 위한 식각 하드마스크로서 사용되었다. 그러나, 수소의 높은 농도를 갖는 탄소 막들은 종종, 후속 식각 프로세스 동안 패터닝된 라인 휨 또는 라인 파손을 초래할 수 있는, 열악한 막 구조 및 고유의 막 응력을 보여준다. 실질적으로 탄소로만 이루어진 비정질 탄소 막들은, 훌륭한 막 경도 및 우수한 식각 선택도를 보여주는데, 이는 막이 큰 비율의 다이아몬드 결합들(sp3 결합들)을 포함하기 때문이다. PVD 방법을 사용하여, 수소없는 비정질 탄소 막이 형성될 수 있다. 그러나, PVD 증착된 비정질 탄소 막들은 또한, 큰 표면 거칠기 및 높은 압축 응력을 겪는다. 위에서 제안된 개선된 스퍼터링 챔버를 사용하여 수소없는 막에 탄소 이온들을 주입하는 것이 막 응력을 상당히 해제하고 표면 거칠기를 침식할 수 있다는 것을 밝혀냈다. 주입 후 최종 막은 최신 기술의 탄소 기재의 하드마스크들보다 3배 더 높은 식각 선택도, 평활한 표면, 및 완화된 응력을 보여준다. 예시적인 프로세스는 도 1a-1b 내지 3a-3d와 관련하여 위에서 논의된 처리 챔버를 사용하여 이하에서 논의될 것이다.
도 4는, 본 개시내용의 실시예들에 따른, 탄소 기재의 막을 형성하기 위한 예시적인 프로세스 흐름도이다. 도 5a-5c는 도 4의 프로세스 흐름도를 사용하여 형성된 탄소 기재의 막의 개략적인 단면도들을 예시한다. 프로세스는, 박스(402)에서, 도 1에 도시된 처리 챔버(100) 내에 배치된 페디스털 조립체(120) 상에 기판을 배치함으로써 시작된다. 도 5a에 도시된 바와 같이, 기판(502)은 실질적으로 평평한 표면을 가질 수 있다. 대안적으로, 기판(502)은 패터닝된 구조들, 표면에 형성된 트렌치들, 홀들, 또는 비아들을 갖는 표면을 가질 수 있다. 기판(502)이 단일 몸체로서 예시되지만, 기판(502)은 응용에 따라, 하나 이상의 금속 층, 하나 이상의 유전체 물질, 반도체 물질, 및 이들의 조합들을 포함할 수 있다는 것이 이해된다.
박스(404)에서, 도 1에 도시된 진공 펌프(149)를 사용하여, 처리 챔버(100)의 압력은 약 0.6 mTorr 내지 약 400 mTorr, 예를 들어, 약 5 mTorr 내지 약 30 mTorr의 대기압 미만 수준으로 설정된다. 불활성 가스, 예컨대, 아르곤이 처리 챔버의 가스 도관들(144)을 통해 처리 영역(110) 내로 도입된다. 불활성 가스의 유량은, 챔버 크기의 함수로서, 직경이 200 mm인 처리 영역(110)을 갖는 처리 챔버의 경우 약 30-300 sccm으로부터, 면적이 1300 mm x 1500 mm인 처리 영역(110)을 갖는 처리 챔버의 경우 800-2000 sccm까지의 범위에서 변한다. 관련 기술분야의 통상의 기술자는 처리 챔버의 크기에 따라, 본원에서 논의되는 적절한 유량 및 관련 프로세스 파라미터들을 용이하게 결정할 수 있다.
박스(406)에서, (RF 공급원(181)으로부터의) RF 전력을 처리 챔버(100)에 배치된 타겟(132)에 결합함으로써 처리 챔버(100)의 처리 영역(110)에 플라즈마가 형성된다. 탄소 막이 요구되는 경우에, 탄소 타겟 또는 탄소 함유 타겟이 사용된다. RF 전력은 약 0 kW 내지 약 10 kW, 예를 들어, 약 1 kW 내지 약 5 kW 범위로, 약 2 MHz 내지 약 13.56 MHz의 주파수로 타겟(132)에 공급될 수 있다.
박스(408)에서, 타겟(132)이 RF 전력에 의해 전기적으로 바이어싱되는 동안, DC 전력을 타겟(132)에 제공하기 위해 DC 공급원(182)이 켜진다. 타겟에 결합된 DC 전력은 처리 영역(110)의 아르곤 이온들에 더 높은 스퍼터링 이온 에너지를 제공하여, 탄소 원자들을 타겟(132)으로부터 떼어내기 위해 탄소 타겟 표면의 강한 충격을 초래한다. 도 5a에 도시된 바와 같이, 스퍼터링된 탄소 원자들은 기판(502)의 표면 상에 탄소 막(504)의 제1 층을 형성하기 위해 표면을 향해 이동하고 표면 상에 안착한다. 스퍼터링 프로세스 동안, 타겟(132)에는, 약 0 kW 내지 약 20 kW, 예를 들어, 약 1 kW 내지 약 10 kW의 범위의, 13.56 MHz의 주파수의 RF 전력, 및 약 0 kW 내지 약 10 kW, 예를 들어, 약 1 kW 내지 약 5 kW 범위의 DC 전력이 공급될 수 있다. 일 실시예에서, 약 1 kW/m2의 RF 전력 밀도 및 약 5 kW/m2의 DC 전력 밀도가 타겟에 결합된다. 스퍼터링 프로세스는 일정 기간, 예를 들어, 약 0.1 초 내지 약 120 초 동안 수행될 수 있고, 이 기간은 탄소 막의 원하는 두께에 따라 변할 수 있다.
공급원 전력, 즉, RF 전력 및 DC 전력은 일정한 또는 펄스 방식으로 제공될 수 있다. 일부 실시예들에서, RF 전력은 일정한 방식으로 제공될 수 있고 반면에 DC 전력은 펄스 방식으로 제공될 수 있거나, 그 반대일 수 있다. 일부 실시예들에서, RF 전력 및 DC 전력은 펄스 방식(펄스화된 RF-DC 작동)으로 작동된다. 펄스화된 RF-DC 작동은, 이전에 논의된 바와 같이, 도 1에 도시된 펄스 제어기(173)에 의해 제어되는 정규 펄스 모드 및 고주파 펄스 모드를 포함할 수 있다. 정규 펄스 모드가 요구되는 경우, 타겟(132)에 제공되는 각각의 RF/DC 펄스는 약 300 마이크로초 내지 약 800 마이크로초, 예를 들어, 약 350 마이크로초 내지 약 650 마이크로초의 펄스 지속기간을 가질 수 있다. 타겟(132)에 제공되는 각각의 RF/DC 펄스는 약 1 Hz 내지 약 200 Hz, 예컨대, 약 5 Hz 내지 약 100 Hz 범위의 펄스 반복 주파수를 가질 수 있다. RF 전력 및 DC 전력은 50% 내지 90%의 듀티 사이클로 제공될 수 있다. 고주파 펄스 모드가 요구되는 경우, 타겟(132)에 제공되는 각각의 RF/DC 펄스는 약 100 마이크로초 내지 약 300 마이크로초, 예를 들어, 약 150 마이크로초 내지 약 250 마이크로초의 지속기간을 가질 수 있다. 타겟(132)에 제공되는 각각의 RF/DC 펄스는 약 200 Hz 내지 약 20 KHz, 예를 들어, 약 300 Hz 내지 약 600 Hz 범위의 펄스 반복 주파수를 가질 수 있다. RF 전력 및 DC 전력은 1% 내지 10%의 듀티 사이클로 제공될 수 있다. 펄스 지속기간 또는 반복 주파수는, 지정된 막 두께 및 성장률을 달성하도록 조정될 수 있다. 어느 모드에서든, RF 공급원(181) 및 DC 공급원(182)은 동기적으로 또는 비동기적으로 펄스화될 수 있다. 고주파 펄스 모드가, 타겟 화학 결합들을 파괴하고 타겟 물질 이온 종들의 상당 부분으로 플라즈마를 생성하는 것을 돕는 것으로 여겨진다.
스퍼터링 프로세스 동안, 도 1에 도시된 마그네트론 시스템(189)은, 마그네트론 시스템(189)이, 예컨대, 도 3d에 도시된 바와 같이, 제1 처리 위치에 위치되도록 타겟(132)에 대해 병진될 수 있다. 대안적으로, 마그네트론 시스템(189)은 타겟의 중심 지점을 중심으로 마그네트론 시스템(189)을 도 3b-3c에 예시된 임의의 원하는 처리 위치로 회전시킴으로써 병진될 수 있다. 마그네트론 시스템은, 복수의 자석들(323)을 포함하는 외측 극(321) 및 복수의 자석들(323)을 포함하는 내측 극(322)을 포함하고, 외측 및 내측 극들은 개방 루프 마그네트론 조립체를 형성한다. 이전에 논의된 바와 같이, 개방 루프 마그네트론 구성의 사용이, 높은 압력의, 타겟의 RF 및 DC 스퍼터링과 함께 사용될 때, 기판 표면에 걸쳐 개선된 물질 조성 균일성을 제공한다. 대안적으로, 일부 실시예들에서, 외측 및 내측 극들은 폐쇄 루프 마그네트론 구성을 형성할 수 있다.
박스(410)에서, 일단 탄소 막의 제1 층이 기판(502)의 표면 상에 증착되면, RF 공급원(181) 및 DC 공급원(182) 둘 모두가 꺼진다. 이어서, 도 5b에 도시된 바와 같이, 증착된 탄소 막에, 처리 영역(110)에 여전히 남아있는 탄소 이온들(506)을 주입하기 위해, 짧은 기간 동안 높은 음의 바이어스 전압이 (바이어스 전원(141)에 의해) 도 1의 페디스털 조립체(120)에 제공된다. 음의 바이어스 전압은 약 1 kW 내지 약 30 kW, 예를 들어, 약 5 kW 내지 약 10 kW일 수 있다. 기판에 충돌되는 탄소 이온들의 운동 에너지는, 그러한 높은 음의 바이어스 전압으로 더 클 것이고, 처리된 탄소 막은 비정질 및 거친 텍스처로 인해 낮은 밀도를 가질 것이다. 추가적으로, 높은 바이어스 전압이 사용될 때, 높은 에너지 탄소 이온 조사로 인해 기판 온도가 상승한다. 결과적으로, 증착된 탄소 막에서의 잔류 응력이 완화된다. 도 5c는 완화된 막 응력 및 평활한 표면 거칠기를 갖는 처리된 탄소 막을 도시한다.
높은 음의 바이어스 전압은 일정한 방식으로 페디스털 조립체(120)에 인가될 수 있다. 일부 실시예들에서, 높은 음의 바이어스 전압은, 이전에 논의된 바와 같이, 정규 펄스 모드 또는 고주파 펄스 모드로 페디스털 조립체(120)에 인가된다. 정규 펄스 모드가 요구되는 경우, 높은 음의 바이어스 전압은 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz, 예를 들어, 약 50 Hz 내지 약 100 Hz의 펄스 반복 주파수를 가질 수 있다. 높은 음의 바이어스 전압은 1% 내지 10%의 듀티 사이클로 제공될 수 있다. 고주파 펄스 모드가 요구되는 경우, 높은 음의 바이어스 전압은 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz, 예를 들어, 약 300 Hz 내지 약 600 Hz의 펄스 반복 주파수를 가질 수 있다. 높은 음의 바이어스 전압은 1% 내지 20%의 듀티 사이클로 제공될 수 있다. 펄스 지속기간 또는 반복 주파수는, 지정된 막 두께 및 성장률을 달성하도록 조정될 수 있다. 고주파 펄스 모드가 적응되는 일 실시예에서, 바이어스 전압과 공급원 전력이, 고주파 펄스 공급원에 의해 퇴출되는 고밀도의 타겟 이온 종들이 기판 표면 상에 증착되고 주입되도록 동기화된다. 결과적으로, 더 높은 증착 속도가 달성된다.
도 6a 및 도 6b는 도 1의 처리 챔버를 사용하는 주입 속성들과 바이어스 전압 사이의 관계를 도시한다. 구체적으로, 도 6a는, 본 개시내용의 실시예들에 따른, 바이어스 전력의 함수로서 DC 전압 및 투여용량의 그래프를 예시한다. 도 6b는, 본 개시내용의 실시예들에 따른, 바이어스 전력의 함수로서 스퍼터 속도의 그래프를 예시한다. 볼 수 있는 바와 같이, 주입 투여용량 및 스퍼터링 속도 둘 모두는 바이어스 전력이 상승될 때 증가한다. 이는, 높은 음의 바이어스 전력이, 기판 표면을 타격하는 이온들의 에너지뿐만 아니라 도핑 수준에 영향을 미치기 때문이다. 도 6c는, 본 개시내용의 실시예들에 따른, 이온 종 주입 프로파일의 모의 데이터의 그래프를 도시한다. 특히, 도 6c는, 모의 및 2차 이온 질량 분석법(SIMS) 분석에 의한, 목표하는 막에서의 도핑된 아르곤 및 탄소 이온들 자취의 특성화를 예시한다. 이러한 모든 정보를 이용하여, 관련 기술분야의 통상의 기술자는, 증착-주입 주기를 수행하기 위해 본 개시내용에서 논의된 바와 같이 바이어스, 공급원 전력, 압력 및 펄스 지속기간을 제어함으로써, 지정된 주입 에너지를 DC 바이어스 전압 모니터링에 기초하여 맞추거나 제어하고, 총 투여용량을 제어하고 계산하며, 스퍼터 속도를 조절할 수 있다.
도 7a 및 도 7b는, 각각, 본 개시내용의 다양한 실시예들에 적용될 수 있는, 정규 펄스 모드 및 고주파 펄스 모드에 대한 듀티 사이클 및 펄스 변동의 개략도들이다. 도 7a에서 볼 수 있는 바와 같이, 공급원 전력(즉, RF 전력 및 DC 전력)의 펄싱 및 듀티 사이클, 및 바이어스 전압은 주기적 증착 및 처리 프로세스를 위해 제어될 수 있으며, 여기서 바이어스 전압은 공급원 전력 꺼짐 "T(꺼짐)" 주기 동안 "T(켜짐)"로 켜지고 이온들의 주입을 위해 공급원 플라즈마로부터 남겨진 라디칼들을 사용한다. 도 7b는 증착 속도를 증가시키기 위해 바이어스 전압과 공급원 전력이 동기화되는 것을 도시한다.
박스(412)에서, 일단, 증착된 탄소 막이 탄소 이온들로 처리되면, 다음 성장 주기를 위해 기판(502)이 냉각된다.
박스(414)에서, 박스들(406-412)에서 설명된 프로세스가, 원하는 두께의 탄소 막이 도달될 때까지 반복된다. 도 5d-5i는, 탄소 막(510)의 제2 층 및 탄소 막(512)의 제3 층이 탄소 막(504)의 제1 층 위에 순차적으로 형성되고 탄소 이온들로 처리되는 다른 2개의 성장 주기들을 개략적으로 도시한다. 탄소 막의 3개의 층들이 예시되지만, 본 개시내용의 실시예들은 특정 개수의 층들로 제한되지 않는다.
위의 설명은 탄소 막 형성의 프로세스들을 주로 논의하지만, 개념은 본원에 설명된 개시내용의 범위로 제한하도록 의도되지 않는다. 본 개시내용의 실시예들은, 스칸듐(Sc), 이트륨(Y), 지르코늄(Zr), 란타넘(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 사마륨(Sm), 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Th), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb), 루테튬(Lu) 및 하프늄(Hf)을 포함할 수 있지만 이에 제한되지 않는 금속들을 증착시키는 능력을 제공한다. 다른 물질들, 예컨대, 알루미늄, 구리, 니켈, 백금, 은, 크롬, 금, 몰리브데넘, 규소, 루테늄, 탄탈럼, 질화탄탈럼, 탄화탄탈럼, 질화티타늄, 텅스텐, 질화텅스텐, 알루미나, 산화란타넘들, 니켈 백금 합금들, 및 티타늄, 및/또는 이들의 조합이 또한 본 개시내용으로부터 이익을 얻을 수 있다.
요약하면, 본 개시내용의 실시예들은 높은 바이어스 전압(예를 들어, 1 kW 이상)을 페디스털 조립체에 결합함으로써 스퍼터링 챔버가 인-시튜 이온 주입 능력을 제공할 수 있게 한다. 페디스털 조립체는 평탄한 기판 수용 표면을 가지며, 기판 수용 표면에 형성된, 고전력에서 아킹을 쉽게 야기할 가스 도관들 또는 홈들을 갖지 않고 벌크 세라믹에 의해 제조된다. 스퍼터링 챔버는, 기판의 최소화된 플라즈마 관련된 손상을 갖는, 타겟의 강한 충격을 제공하기 위해, 타겟에 결합되는 RF-DC 전원들을 활용한다. RF 전력을 타겟에 추가하는 것은 또한, 증착되는 이온들에 대한 기판 바이어스의 영향들을 개선하는 것을 돕는, 플라즈마의 이온화를 증가시킨다. 정확한 투여 제어를 위해 주입 바이어스 전압 및 투여용량을 동시에 또는 거의 동시에 측정하고/거나 제어하기 위해 페디스털 조립체에 장착된 탐침과 함께 작동하기 위해, 광학 방출 분광계가 스퍼터링 챔버 내부에 배치된다. 스퍼터링 챔버는 또한, 측부 가스 주입, 및 스퍼터링 챔버와 동심으로 배치된 펌핑 포트를 갖는 배기 조립체를 제공한다. 펌핑 포트의 대칭적 위치설정은 기판 표면 위에서의 더 균일한 가스 유동을 허용하고 균일한 플라즈마 형성을 촉진하기 위해 스퍼터링 챔버 내에서의 개선된 가스 유동 대칭을 제공한다. 이러한 고유한 챔버 설계들은 조합하여, 종래의 PVD, CVD 또는 ALD 시스템들에 비해 높은 막 품질을 갖는, 금속으로부터 유전체까지의 다수의 물질들의 저온 증착을 허용한다.
전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (15)

  1. 기판을 처리하기 위한 처리 챔버로서,
    상기 처리 챔버의 처리 영역에 배치된 제1 표면 및 상기 제1 표면에 대향하는 제2 표면을 갖는 타겟;
    상기 타겟에 결합된 RF 전력 공급부;
    상기 타겟에 결합된 DC 전력 공급부;
    지지 몸체를 포함하는 기판 지지부 ― 상기 지지 몸체는 상기 기판 지지부의 전체 직경에 걸쳐 평탄한 기판 수용 표면을 가짐 ―;
    상기 기판 지지부에 결합된 바이어스 전원;
    상기 바이어스 전원에 결합된 펄스 제어기 ― 상기 펄스 제어기는, 바이어스 전력이, 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz의 펄스 반복 주파수를 갖는 정규 펄스 모드, 또는 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수를 갖는 고주파 펄스 모드로 전달되도록, 상기 바이어스 전원에 펄스 제어 신호를 인가하도록 구성됨 ―; 및
    상기 처리 챔버의 바닥을 통해 형성된 동심 펌핑 포트를 갖는 배기 조립체를 포함하는, 기판을 처리하기 위한 처리 챔버.
  2. 제1항에 있어서,
    상기 타겟의 상기 제2 표면에 인접하여 배치된 회전가능한 마그네트론을 더 포함하는, 기판을 처리하기 위한 처리 챔버.
  3. 제1항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는, 약 300 마이크로초 내지 약 800 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz의 펄스 반복 주파수로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  4. 제3항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는 50% 내지 90%의 듀티 사이클로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  5. 제1항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는, 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  6. 제5항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는 1% 내지 10%의 듀티 사이클로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  7. 제1항에 있어서,
    상기 바이어스 전원은 약 1 kW 내지 약 25 kW의 음의 바이어스 전압을 제공하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  8. 제7항에 있어서,
    상기 바이어스 전원은 1% 내지 10%의 듀티 사이클로 작동하는 정규 펄스 모드로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  9. 제7항에 있어서,
    상기 바이어스 전원은 1% 내지 20%의 듀티 사이클로 작동하는 고주파 펄스 모드로 작동하도록 구성되는, 기판을 처리하기 위한 처리 챔버.
  10. 플라즈마 처리 챔버로서,
    스퍼터링 타겟;
    상기 스퍼터링 타겟의 표면에 인접하여 배치된 회전 마그네트론;
    상기 스퍼터링 타겟에 결합된 RF 전력 공급부;
    상기 스퍼터링 타겟에 결합된 DC 전력 공급부;
    기판 수용 표면을 포함하는 지지 몸체 ― 상기 지지 몸체는 상기 처리 챔버의 측벽으로부터 외팔보식으로 장착되고, 상기 기판 수용 표면 바로 아래의 적어도 일부는 상기 기판 수용 표면의 직경에 걸쳐 균일한 두께를 가짐 ―;
    상기 지지 몸체에 결합된 바이어스 전원;
    상기 바이어스 전원에 결합된 펄스 제어기 ― 상기 펄스 제어기는, 바이어스 전력이, 약 100 마이크로초 내지 약 200 마이크로초의 펄스 지속기간 및 약 1 Hz 내지 약 200 Hz의 펄스 반복 주파수를 갖는 정규 펄스 모드, 또는 약 100 마이크로초 내지 약 300 마이크로초의 펄스 지속기간 및 약 200 Hz 내지 약 20 KHz의 펄스 반복 주파수를 갖는 고주파 펄스 모드로 전달되도록, 상기 바이어스 전원에 펄스 제어 신호를 인가함 ―;
    상기 처리 챔버의 측벽을 통해 배치된 가스 도관;
    상기 처리 챔버의 상기 측벽에 결합된 차폐부 ― 상기 차폐부는 처리 영역의 일부를 적어도 부분적으로 국한하기 위해 하방으로 연장됨 ―; 및
    상기 처리 챔버의 바닥을 통해 형성된 펌핑 포트를 갖는 배기 조립체 ― 상기 펌핑 포트는 상기 처리 챔버의 중심을 통과하는 중심 축에 대해 대칭으로 배치됨 ― 를 포함하는, 플라즈마 처리 챔버.
  11. 제10항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는 상기 바이어스 전원과 동기적으로 작동하도록 구성되는, 플라즈마 처리 챔버.
  12. 제10항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는 상기 바이어스 전원과 비동기적으로 작동하도록 구성되는, 플라즈마 처리 챔버.
  13. 제10항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는 50% 내지 90%의 듀티 사이클로 작동하도록 구성되는, 플라즈마 처리 챔버.
  14. 제10항에 있어서,
    상기 RF 전력 공급부 및 상기 DC 전력 공급부는, 1% 내지 10%의 듀티 사이클로 작동하도록 구성되고, 상기 바이어스 전원은 약 1 kW 내지 약 25 kW의 음의 바이어스 전압을 제공하도록 구성되는, 플라즈마 처리 챔버.
  15. 기판을 처리하는 방법으로서,
    처리 챔버의 처리 영역에 배치된 기판 지지부 상에 기판을 제공하는 단계 ― 상기 처리 챔버는, 상기 처리 챔버에 배치된 타겟에 결합된, RF 전력 공급부 및 DC 전력 공급부를 가짐 ―;
    상기 처리 영역을 mTorr 범위까지 펌핑하는 단계;
    상기 처리 영역에 플라즈마를 형성하기 위해 상기 타겟에 RF 전력을 제공하는 단계;
    상기 기판의 표면 상에 막 층을 형성하기 위해 상기 타겟에 DC 전력을 제공하는 단계; 및
    상기 막 층의 미리 결정된 두께가 형성된 후에, 상기 RF 전력 공급부 및 상기 DC 전력 공급부를 끄고, 상기 처리 영역에 남아 있는 이온들을 상기 기판의 표면 내에 주입하기 위해 약 1 kW 내지 약 30 kW의 바이어스 전력을 짧은 기간 동안 상기 기판 지지부에 제공하는 단계를 포함하는, 기판을 처리하는 방법.
KR1020197024788A 2017-01-25 2017-12-29 증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 pvd 챔버의 확장 KR20190102092A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762450318P 2017-01-25 2017-01-25
US62/450,318 2017-01-25
PCT/US2017/069033 WO2018140193A2 (en) 2017-01-25 2017-12-29 Extension of pvd chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment

Publications (1)

Publication Number Publication Date
KR20190102092A true KR20190102092A (ko) 2019-09-02

Family

ID=62905681

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197024788A KR20190102092A (ko) 2017-01-25 2017-12-29 증착, 주입, 및 처리를 위한, 다수의 반응 가스들, 높은 바이어스 전력, 및 높은 전력 임펄스 공급원을 갖는 pvd 챔버의 확장

Country Status (6)

Country Link
US (1) US10927449B2 (ko)
JP (1) JP2020507675A (ko)
KR (1) KR20190102092A (ko)
CN (1) CN110225995A (ko)
TW (1) TW201840249A (ko)
WO (1) WO2018140193A2 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11227746B2 (en) * 2018-03-23 2022-01-18 Applied Materials, Inc. Isolated backside helium delivery system
US10515802B2 (en) * 2018-04-20 2019-12-24 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress mask using implantation
TWI815945B (zh) * 2018-08-10 2023-09-21 美商應用材料股份有限公司 多陰極沉積系統
JP6797273B2 (ja) * 2019-02-05 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
CN111524782B (zh) 2019-02-05 2023-07-25 东京毅力科创株式会社 等离子体处理装置
US11473189B2 (en) * 2019-02-11 2022-10-18 Applied Materials, Inc. Method for particle removal from wafers through plasma modification in pulsed PVD
JP7285745B2 (ja) * 2019-09-18 2023-06-02 東京エレクトロン株式会社 成膜システム、磁化特性測定装置、および成膜方法
CN112853286A (zh) * 2019-11-12 2021-05-28 应用材料公司 压电膜的物理气相沉积
CN110894592A (zh) * 2019-12-25 2020-03-20 中建材蚌埠玻璃工业设计研究院有限公司 一种自旋半导体ZrO2薄膜的制备方法
JP7426842B2 (ja) * 2020-02-12 2024-02-02 東京エレクトロン株式会社 ステージ装置、給電機構、および処理装置
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
EP4244882A1 (en) * 2020-11-16 2023-09-20 Applied Materials, Inc. Methods and apparatus for zone control of rf bias for stress uniformity
KR102349212B1 (ko) * 2021-04-28 2022-01-07 권순영 조성 조절이 가능한 코팅층 형성방법
WO2022255118A1 (ja) * 2021-06-01 2022-12-08 東京エレクトロン株式会社 プラズマ処理装置及び基板支持器
CN114000116A (zh) * 2021-10-20 2022-02-01 江苏集创原子团簇科技研究院有限公司 矩形用于团簇束流源高功率脉冲磁控溅射装置及测试方法
CN114045466A (zh) * 2021-10-20 2022-02-15 江苏集创原子团簇科技研究院有限公司 圆形用于团簇束流源的高功率脉冲磁控溅射装置及测试方法
US20240112883A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Helical voltage standoff

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2641725B2 (ja) * 1988-01-29 1997-08-20 株式会社日立製作所 基板バイアス方式のスパッタリング方法及びその装置
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US7509734B2 (en) * 2003-03-03 2009-03-31 United Technologies Corporation Repairing turbine element
SG143940A1 (en) 2003-12-19 2008-07-29 Agency Science Tech & Res Process for depositing composite coating on a surface
JP4931939B2 (ja) * 2006-03-09 2012-05-16 アプライド マテリアルズ インコーポレイテッド 半導体デバイスを形成する方法
WO2009001458A1 (ja) 2007-06-28 2008-12-31 Shinko Seiki Company, Limited 眼科検査装置
KR100955935B1 (ko) 2007-12-21 2010-05-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US8563428B2 (en) * 2010-09-17 2013-10-22 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US20120244290A1 (en) * 2011-03-24 2012-09-27 United Technologies Corporation Deposition Substrate Temperature and Monitoring
US10109481B2 (en) * 2012-07-02 2018-10-23 Applied Materials, Inc. Aluminum-nitride buffer and active layers by physical vapor deposition
JP6609425B2 (ja) * 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置

Also Published As

Publication number Publication date
JP2020507675A (ja) 2020-03-12
US20180209035A1 (en) 2018-07-26
WO2018140193A3 (en) 2018-09-20
US10927449B2 (en) 2021-02-23
TW201840249A (zh) 2018-11-01
WO2018140193A2 (en) 2018-08-02
CN110225995A (zh) 2019-09-10

Similar Documents

Publication Publication Date Title
US10927449B2 (en) Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
TWI499682B (zh) 電漿處理腔室以及沉積薄膜的方法
JP4374487B2 (ja) イオン源装置およびそのクリーニング最適化方法
JP5698652B2 (ja) 同軸マイクロ波支援堆積及びエッチングシステム
JP4143684B2 (ja) プラズマドーピング方法及び装置
US8911602B2 (en) Dual hexagonal shaped plasma source
KR100754370B1 (ko) 향상된 중성입자 플럭스를 갖는 중성입자빔 생성장치
KR101821338B1 (ko) 기판 프로세싱 시스템, 이온 주입 시스템, 및 빔라인 이온 주입 시스템
US20140048413A1 (en) Film-forming apparatus
KR20010099597A (ko) 비균일성을 보상하면서 표면을 물리적 기상 프로세싱하는장치
WO1993018201A1 (en) Plasma implantation process and equipment
KR100716258B1 (ko) 고체원소 중성입자빔 생성장치 및 방법
US6504159B1 (en) SOI plasma source ion implantation
JP2021533572A (ja) 中性原子ビームを使用した被加工物処理のためのシステムおよび方法
JP2000068227A (ja) 表面処理方法および装置
KR101429069B1 (ko) 성막 장치 및 성막 방법
US20090205950A1 (en) Film deposition apparatus and film deposition method
JP2004162138A (ja) プラズマ支援スパッタ成膜装置
JP4408987B2 (ja) スパッタ処理応用のプラズマ処理装置
JP4197149B2 (ja) スパッタリング装置
KR20200066735A (ko) 유전체 막들의 고 전력 임펄스 마그네트론 스퍼터링 물리 기상 증착을 위한 펄스 dc 소스 및 적용 방법들
KR102605711B1 (ko) 피처리물 표면 대전 중화 장치
JPH025413A (ja) プラズマ処理装置
Wickramanayaka et al. Magnetically Enhanced Dual Frequency Capacitively Coupled Plasma Source for Large-area Wafer Processing
TW202349437A (zh) 等離子體摻雜系統以及用於其的屏蔽環組合件

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application