JP2020507675A - 堆積、注入、及び処理のための、複数の反応ガス、高バイアス電力、並びに高電力インパルス源によるpvdチャンバの拡張 - Google Patents

堆積、注入、及び処理のための、複数の反応ガス、高バイアス電力、並びに高電力インパルス源によるpvdチャンバの拡張 Download PDF

Info

Publication number
JP2020507675A
JP2020507675A JP2019539977A JP2019539977A JP2020507675A JP 2020507675 A JP2020507675 A JP 2020507675A JP 2019539977 A JP2019539977 A JP 2019539977A JP 2019539977 A JP2019539977 A JP 2019539977A JP 2020507675 A JP2020507675 A JP 2020507675A
Authority
JP
Japan
Prior art keywords
power supply
processing chamber
pulse
substrate
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019539977A
Other languages
English (en)
Inventor
チンチン リウ,
チンチン リウ,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
シュリニヴァス ディ. ネマニ,
シュリニヴァス ディ. ネマニ,
ヨンメイ チェン,
ヨンメイ チェン,
アナンタ ケー. スブラマニ,
アナンタ ケー. スブラマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020507675A publication Critical patent/JP2020507675A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3458Electromagnets in particular for cathodic sputtering apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

本開示の実施形態は、スパッタリングチャンバにインシトゥイオン注入能力を提供する。一実施形態では、スパッタリングチャンバは、ターゲットと、ターゲットに連結されたRF及びDC電源と、平坦な基板受容面を備える支持体本体と、支持体本体に連結されたバイアス電源と、バイアス電源に連結されたパルスコントローラであって、約100〜200マイクロ秒のパルス持続時間と約1〜200Hzのパルス反復周波数を有する通常のパルスモードで、或いは、約100〜300マイクロ秒のパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数を有する高周波数パルスモードで、バイアス電力が供給されるように、バイアス電源にパルス制御信号を印加するパルスコントローラと、処理チャンバの底部を通って形成された同心の排出口を有する排気アセンブリとを備える。【選択図】図2

Description

[0001] 本開示の実施態様は、概して、金属層と誘電体層の形成並びにイオン注入の装置及び方法に関する。
[0002] 半導体デバイスの製造には、ドープされた領域を形成するため、半導体基板へのドーピング元素の導入が部分的に含まれる。ドーピング元素は、電気キャリアを生成し、半導体材料の導電率を変えるように、半導体材料に結合するように選択される。電気キャリアは、電子(N型ドーパントとして生成される)又はホール(P型ドーパントとして生成される)のいずれかになりうる。そのように導入されるドーパント元素の濃度は、結果として得られる領域の導電率を決定する。このようなN型及びP型ドーピング領域の多くは、全体で半導体デバイスとして機能するトランジスタ構造、絶縁構造、及びその他の電子的構造を形成するように作成される。他の幾つかの応用には、表面機能を変えるためのゲート金属材料の導入が含まれうる。
[0003] 半導体基板へのドーパント導入の1つの方法は、イオン注入によるものである。イオン注入は、所望のドーパント材料がイオン源でイオン化され、そのイオンが電場によって加速され、イオンビームを形成する工程である。イオンビームは、イオンビームのエネルギーを制御することによって、制御可能なドーピングプロファイルで基板にイオンを注入するように、基板に向けられる。所定の時間内に基板に供給されうる注入量は、概ねイオンビームの電流密度と電力の関数になっている。イオン注入工程で遭遇する1つの問題は、注入電流がある閾値(例えば、約75ミリアンペア)を超えると、イオンビームが扱いにくくなり、熱損傷又は不安定な注入プロファイルが起こりうることである。希土類金属などのある種のドーパント材料は融点が高いため、イオン化には高いイオンビーム電力が要求されるので、イオンビーム電力の制限は望ましくない。
[0004] そのため、様々な種類の材料のイオン注入のためには、プラズマドーピングシステムの改良が必要である。
[0005] 本開示の実施形態は概して、金属から誘電体まで様々な材料に対して、高い膜品質でのインシトゥイオン注入能力を有する、改良されたスパッタリングチャンバを提供する。一実施形態では、スパッタリングチャンバは、処理チャンバの処理領域に配設された第1の面並びに第1の面と反対側の第2の面を有するターゲットと、ターゲットに連結されたRF及びDC電源と、基板支持体の直径全体にわたる平坦な基板受容面を備える支持体本体と、支持体本体に連結されたバイアス電源と、バイアス電源に連結されたパルスコントローラであって、約100ミリ秒から約200ミリ秒までのパルス持続時間と約1Hzから約200Hzまでのパルス反復周波数を有する通常のパルスモードで、或いは、約100ミリ秒から約300ミリ秒までのパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数を有する高周波数パルスモードで、バイアス電力が供給されるように、バイアス電源にパルス制御信号を印加するパルスコントローラと、処理チャンバの底部を通って形成された同心円状の排出口を有する排気アセンブリとを備える。
[0006] 別の実施形態では、スパッタリングチャンバは、スパッタリングターゲットと、スパッタリングターゲットの表面に隣接して配設された回転マグネトロンと、スパッタリングターゲットに連結されたRF電源と、スパッタリングターゲットに連結されたDC電源と、基板受容面を備える支持体本体であって、基板受容面の少なくとも直下の部分は基板受容面の直径全体にわたる一様な厚みを有する支持体本体と、支持体本体に連結されたバイアス電源と、バイアス電源に連結されたパルスコントローラであって、約100ミリ秒から約200ミリ秒までのパルス持続時間と約1Hzから約200Hzまでのパルス反復周波数を有する通常のパルスモードで、或いは、約100ミリ秒から約300ミリ秒までのパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数を有する高周波数パルスモードで、バイアス電力が供給されるように、バイアス電源にパルス制御信号を印加するパルスコントローラと、処理チャンバの側壁に配設されたガス導管と、処理チャンバの側壁に連結されたシールドであって、処理領域の一部を少なくとも部分的に包囲するように下向きに延在するシールドと、処理チャンバの底部を通って形成された排出口を有する排気アセンブリであって、排出口が処理チャンバの中心を通る中心軸の周りに対称に配設される排気アセンブリとを備える。
[0007] 更に別の実施形態では、基板を処理するための方法が提供される。本方法は、処理チャンバの処理領域に配設された基板支持体の上に基板を提供することであって、処理チャンバは処理チャンバ内に配設されたターゲットに連結されたRF電源とDC電源を有する、基板を提供することと、処理領域をmTorrレンジまで排気することと、処理領域にプラズマを形成するため、ターゲットにRF電力を供給することと、基板の表面に膜層を形成するため、ターゲットにDC電力を供給することと、所定の厚さの膜層が形成された後、RF電源とDC電源をオフにすることと、処理領域に残っているイオンを基板の表面に注入する短い時間だけ、約1kWから約30kWのバイアス電力を、基板支持体に供給することとを含む。
[0008] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容しうることから、付随する図面はこの開示の典型的な実施形態のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに、留意されたい。
本開示の一実施形態による例示的な処理チャンバを示す。 クラスタツールの処理位置に連結された処理チャンバの等角図である。 本開示の一実施形態による、ターゲット側から見たマグネトロンの等角図である。 本開示の一実施形態による、マグネトロンの一部の底面図である。 本開示の一実施形態による、マグネトロンの一部の底面図である。 本開示の一実施形態による、マグネトロンの一部の底面図である。 本開示の実施形態による、カーボンベース膜を形成するための例示的な処理フロー図である。 図4の処理フロー図を用いて形成されたカーボンベース膜の概略断面図である。 本開示の実施形態による、バイアス電力の関数であるDC電圧と線量のグラフである。 本開示の実施形態による、バイアス電力の関数であるスパッタのグラフである。 本開示の実施形態による、イオン種注入プロファイルのシミュレーションデータのグラフである。 本開示の実施形態による、通常のパルスモードでのデューティサイクルとパルス変動の概略図である。 本開示の実施形態による、高周波数パルスモードでのデューティサイクルとパルス変動の概略図である。
[0021] 図1は、本開示の実施形態による、例示的な処理チャンバ100を示す。処理チャンバ100は一般的に、上方処理アセンブリ108、処理キット150及びペデスタルアセンブリ120を含み、これらはすべて、処理領域110内に配設された基板105を処理するように構成されている。図示した実施形態では、処理チャンバ100は、ターゲット132の1つ又は複数の組成材料を基板105の上に堆積することができる物理的気相堆積(PVD)チャンバなどのスパッタリングチャンバである。PVDチャンバを示し、説明しているが、本開示の実施形態は他の処理チャンバ、例えば、プラズマエッチングチャンバ、プラズマ化学気相堆積チャンバ、プラズマ処理チャンバ、イオン注入チャンバ、又は他の好適な真空処理チャンバに等しく適用可能であることが想定されている。他の製造業者から入手可能なものを含む他の処理チャンバは、本書に記載の開示の一又は複数の実施形態から恩恵を受けるように適合されうることが想定されている。
[0022] 処理チャンバ100は、処理領域110又はプラズマゾーンを取り囲む、側壁104、底部壁106、及び上方処理アセンブリ108を有するチャンバ本体101を含む。チャンバ本体101は一般的に、ステンレス鋼の溶接されたプレート、或いは、陽極酸化された又は陽極酸化されていないアルミニウムの単一ブロックから製造される。一実施形態では、側壁はアルミニウムを含み、底部壁はステンレス鋼プレートを含む。側壁104は、処理チャンバ100との間で基板105を出し入れするためのスリットバルブ124を含む。
[0023] 処理キット150は、例えば、構成要素の表面からスパッタリング堆積物を取り除くため、腐食した構成要素を交換又は修理するため、チャンバ100を他の処理に適用するため、チャンバ100から容易に取り外し可能な様々な構成要素を備えうる。一実施形態では、処理キット150は、シールド160及びアイソレータリングアセンブリ180を備える。シールド160は、アダプタ102のレッジ161によって締結及び支持される。アダプタ102は側壁104に連結され、上方処理アセンブリ108とアイソレータリングアセンブリ180の取り外しを支援するように構成されている。シールド160は下向きに延在し、一般的に一定の直径の管状形状を有しうる。一実施形態では、シールド160は側壁104に沿って下向きに、ガス導管144に隣接する点まで延在する。一実施形態では、シールド160は、接地に電気的に連結される。シールド160は、基板受容面上の処理領域110の一部を、少なくとも部分的に取り囲む。処理チャンバ100の上方処理アセンブリ108の構成要素はシールド160及びペデスタルアセンブリ120と協働して、処理領域110外での浮遊プラズマおよび粒子生成を低減する。特に、シールド160の管状形状は、処理領域110内に形成されたプラズマを基板105の上方の内部処理領域に封じ込め、これによって、プラズマと基板105の表面との相互作用を高め、一方で、側壁104などのチャンバ構成要素の上へのスパッタされた材料の望ましくない堆積を減らす。
[0024] 図2は、クラスタツール103の処理位置に連結される処理チャンバ100の等角図である。クラスタツール103はまた、処理チャンバ100内での堆積処理実施の前後に、基板上で一又は複数の処理ステップを実施するように適合されている他の処理チャンバ(図示せず)を含みうる。例示的なクラスタツール103は、カリフォルニア州サンタクララのApplied Materialsから入手可能なCentura(商標)又はEndura(商標)システムを含みうる。クラスタツール103は、一又は複数のロードロックチャンバ(図示せず)、一又は複数の処理チャンバ、及びクールダウンチャンバ(図示せず)含んでよく、これらはすべて中央移送チャンバ103Aに装着される。一実施例では、クラスタツール103は、周期的層堆積、化学気相堆積(CVD)、物理的気相堆積(PVD)、原子層堆積(ALD)、エッチング、予洗浄、脱ガス、アニーリング、配向付け、及びその他の基板処理といった、いくつかの基板処理工程を実施するよう構成されている、処理チャンバを有しうる。移送ツール、例えば、移送チャンバ103Aに配設されたロボット(図示せず)は、クラスタツール103に装着された一又は複数のチャンバとの間で基板を移送するために使用されうる。
ペデスタルアセンブリ
[0025] ペデスタルアセンブリ120は一般的に、プラットフォーム128に連結された支持体126を含む。プラットフォーム128は一般的に、ステンレス鋼又はアルミニウムなどの金属材料から製造される。支持体126は、アルミニウム又はセラミックで構成されうる。冷却チャネル125は、媒体源(図示せず)からの伝熱媒体を循環することによって、支持体126の温度を調整するように、プラットフォーム128内に配設されている。代替的には、冷却プレートが使用されうる。そのような場合に、冷却プレートは支持体126の底面に対して配設されうる。冷却プレートと支持体126との間の一様な熱伝導を促進するため、冷却プレートは良好な熱伝導率を有する材料から製造されうる。例えば、冷却プレートは銅、ステンレス鋼、タングステン、又はモリブデンなどから製造されうる。冷却プレートは、低い線熱膨張係数又はプラットフォーム128及び/又は支持体126に適合する熱膨張係数を有していなければならない。一例として、冷却プレートはタングステン又はモリブデンから作られる。
[0026] ペデスタルアセンブリ120は、チャンバ100の底部106又はチャンバ100の側壁104に装着されたカンチレバーから支持されうる。図示した実施形態では、ペデスタルアセンブリ120は、プラットフォーム128を介してチャンバ100の側壁104によって支持される。チャンバ壁の一部、例えば側壁104は接地される。追加的に又は代替的に、プラットフォーム128はチャンバ壁を経由して接地されうる。回路配線の複雑度を軽減するため、ペデスタルアセンブリ120は固定タイプの構成で示されているが、ペデスタルアセンブリ120は、リフト機構122のリフトピン123によって、異なる処理位置間を垂直に動くように構成されうると想定されている。
[0027] 支持体126は、処理中に基板105を受容し、支持する基板受容面127を有し、基板受容面127は、ターゲット132のスパッタリング面133にほぼ平行になっている。支持体126はまた、基板105の張り出したエッジの前で終わる周辺エッジ129を有する。支持体126は、静電チャック、セラミック体、ヒータ、冷却器(chiller)、又はこれらの任意の組み合わせになりうる。一実施形態では、支持体126は、内部に配設された一又は複数の電極(バイアス電極143など)を有するセラミック体である。別の実施形態では、支持体126は、内部に配設された一又は複数の電極(バイアス電極143など)を有する誘電体を含む静電チャックである。誘電体は一般的に、熱分解性の窒化ホウ素、窒化アルミニウム、窒化ケイ素、アルミナ、又は等価材料などの高熱伝導性誘電体材料から作られる。
[0028] ペデスタルアセンブリ120は、基板105に沿って堆積リング502を支持する。堆積リング502は一般的に、支持体126を取り囲む環状の形、又は環状のバンドで形成される。堆積リング502は、処理中に支持体126の周辺エッジ129上のスパッタ堆積の形成を低減するように構成されている。図示した実施形態では、プラズマ種が周辺エッジの周りの基板105の裏側に接触するのを妨げるため、或いは防止するため、堆積リング502はまた、堆積リング502の上面から上向きに延在する隆起又は突起を含みうる。堆積リング502は、スパッタリングプラズマによる浸食に耐えることができる材料、例えば、ステンレス鋼、チタン又はアルミニウムなどの金属材料、或いは酸化アルミニウムなどのセラミック材料から製造されうる。一実施形態では、堆積リング502はステンレス鋼材料から形成される。
[0029] リフト機構122は、スリットバルブ124を通る基板搬送機構(図示していないが、処理チャンバ100の外に配設される)によって、基板の交換を容易にするため、ペデスタルアセンブリ120から離れた位置に基板を配置するようにリフトピン123を移動するように構成されている。
[0030] 一実施形態では、バイアス電源141は、整合ネットワーク137を介して支持体126に埋め込まれたバイアス電極143に提供され、接続される。バイアス電極143は図示したように、支持体126の直径全体にわたって延在する単一の電極プレートになりうる。代替的に、バイアス電極143は、同心円状パターン、螺旋状パターン、又は放射状スポークパターンなど、任意の所望の位置に配置された個別のバイアス電極143からなる。バイアス電源141は、支持体126に、また、その結果として、基板受容面127に配置された基板105に、高い負のバイアス電圧を印加する。様々な実施例で、バイアス電源141は、約0.1kWから約50kWまで、約0.5kWから約30kWまでの間、例えば、約1kWから25kWまでのバイアス電力を供給するように構成されうる。一実施形態では、バイアス電源141は、約10kWから約16kWまで、例えば、約14kWのバイアス電力を供給するように構成されている。
[0031] バイアス電極143は、支持体126内に配設された、少なくとも電気バイアス絶縁体(electrical bias insulator)161及び/又は内側密閉リング163によって、支持体126内に直接的に又は間接的に支持されうる。電気バイアス絶縁体161及び内側密閉リング163は、石英、及び他の絶縁体などの誘電体材料を含みうる。内側密閉リング163は、図示したようにバイアス電源141からバイアス電極143までの配線を可能にするため、電気バイアス絶縁体161を通って延在しうる。電気バイアス絶縁体161及び内側密閉リング163は、支持体126とバイアス電極143の間に低いキャパシタンスをもたらすようにサイズ調整されうる。幾つかの実施形態では、電気バイアス絶縁体161は、ペデスタルアセンブリ120から支持体126の上方部分(例えば、基板受容面127の直下又は基板受容面127に隣接する部分)を電気絶縁するようにサイズ調整され、これによって、バイアス電極143に高バイアス電圧(例えば、1kW以上)が供給されるとき、処理チャンバ100内でのアーク放電を防止する。図示した実施形態では、電気バイアス絶縁体161は、支持体126の直径全体にわたって延在される。バイアス電源141は、パイポーラDC電源又はRF電源を使用しうる。
[0032] 低圧力又は真空環境下で基板とペデスタルアセンブリとの間で、冷却ガス(例えば、ヘリウム)の熱伝導を促進するため、ペデスタルアセンブリ120の上面にガス導管又は溝を提供する従来のペデスタル設計と異なり、本開示のペデスタルアセンブリ120は、ガス導管又は溝のない平坦な基板受容面127を使用する。従来のペデスタル設計と共通の問題は、ペデスタルが高バイアス電圧(例えば、400W以上)及び基板直上の高密度プラズマに曝されるとき、ペデスタルの上面でアーク放電が起こりやすいということである。このアーク放電が起こる1つの原因は、ペデスタルの滑らかな上面のガス導管又は溝が空隙を形成して、ペデスタル表面に不連続をもたらしていることで、これは不連続の近傍の電場を歪ませ、アーク放電を起こりやすくする。ガス導管又は溝はまた、基板受容面の直径全体にわたって固体と固体の接触領域を減らすことで、基板とペデスタルとの間の熱伝導の効率を低下させる。
[0033] 冷却チャネル125と基板105との間のアーク放電を減らし、熱伝導効率を改善するため、本開示のペデスタルアセンブリ120の支持体126は、支持体126の直径全体にわたって平坦な基板受容面127を有するセラミック体を使用する。本書に記載の「平坦な」基板受容面は、面内に空洞、孔又は溝のない、完全に水平な表面として定義されうる。図示した実施形態では、基板受容面127の直下の支持体126の少なくとも一部、又は基板105に物理的に接触する支持体126の一部は、基板受容面127の直径にわたって一様な厚みを有する。支持体126をバルクセラミックから製造することによって、処理中に用いられる高バイアス電圧によって支持体126が容易に破壊されるのを防ぐことができる。平坦な基板受容面127は、裏側を冷却するためのガス導管又は溝を用いる従来のペデスタル設計に見られたペデスタルアセンブリと基板との間の空隙をなくすため、高バイアス電圧がバイアス電極143に供給されるときであっても、アーク放電は大幅に低減されるか、除去される。基板105は基板受容面127で、固体同士で完全に接触するため、熱伝導効率も改善される。したがって、ペデスタルアセンブリ120は、はるかに高いバイアス電力(すなわち、1kWを超える)を扱う能力を有し、これにより、スパッタリングチャンバは、従来のPVDチャンバではこれまで可能ではなかったインシトゥ(その場)イオン注入処理を行うことができる。
[0034] パルスコントローラ173は、バイアス電源141に接続され、パルス制御信号を印加することができる。代替的に、高い負のバイアス電圧は一定の方法で供給されうる。パルスコントローラ173は、バイアス電源141に対して所望のパルスモード及び/又はデューティサイクルを生成するようにプログラム可能である。例えば、バイアス電源141は、通常のパルスモード又は高周波数パルスモードで操作されうる。通常のパルスモードでは、高い負のバイアス電圧は、約100マイクロ秒から約200マイクロ秒までのパルス持続時間と、約1Hzから約200Hzまでの、例えば、約50Hzから約100Hzまでのパルス反復周波数を有しうる。高い負のバイアス電圧は、1%から10%のデューティサイクルで提供されうる。高周波数パルスモードでは、高い負のバイアス電圧は、約100マイクロ秒から約300マイクロ秒までのパルス持続時間と、約200Hzから約20KHzまでの、例えば、約300Hzから約600Hzまでのパルス反復周波数を有しうる。高い負のバイアス電圧は、1%から20%のデューティサイクルで提供されうる。パルス持続時間又は反復周波数は、指定された膜厚と成長速度を実現するように調整されうる。
[0035] 以下でより詳細に説明されるように、バイアス電源141は、堆積した膜のイオン注入のため、スパッタリング堆積の各サイクルの終わりに短時間だけオンにしてよく、これは膜応力を解放し、膜の粗度を滑らかにする。バイアス電源141は、スパッタリング堆積処理から残存する正のスパッタされたイオンを引きつけるため、バイアス電極143に高い負のバイアス電圧を供給する。特に、高い負のバイアス電圧は、支持体126前方のプラズマシースに電位勾配を作り出すことによって、基板105に向かってスパッタされたイオンの指向性を高め、そのことは、正にイオン化されたスパッタされたドーパント材料を、基板表面に向かって、また、基板表面上へと加速する力を提供する。バイアス電圧が高いため、基板に衝突するイオンの運動エネルギーは大きくなる。その結果、より大きなドーピングプロファイルが実現される。
[0036] 幾つかの実施形態では、注入DC電圧、DC電流(或いは、RF電力が使用されるときには、RF電圧/電流)、及び瞬間線量を、同時に又はほぼ同時に測定及び/又は監視するため、プローブ151は、整合ネットワーク137と埋め込まれたバイアス電極143との間のフィードポイント153に配置されうる。測定された電流は、線量を制御するため、又は終点を決定するために使用されうる、イオン線量率及び/又は線量プロファイルを推定するために使用される。プローブ151は電圧/電流プローブであってよい。代替的に、プローブ151は、電圧センサ及び電流センサなどの個々の機器によって置き換えられうる。
[0037] 正確な線量管理のためプラズマ種を監視するには、処理チャンバ100内部で生成されたプラズマの励起種からの発光を定量的に測定するため、発光分光器(OES)170などの質量分布センサが処理チャンバ100に提供されてもよい。図示した一実施形態では、発光分光器170は、チャンバ本体101上に形成された石英ウィンドウ172に隣接して配設されている。発光分光器170は、石英ウィンドウ172の隣に配設されたレンズ174を備えうる。分光器176に接続されたレンズ174は、石英ウィンドウ172を通過するプラズマ又は励起種の放射をコリメートするように構成されうる。分光光度計176は次に、波長に基づいて放射線をスペクトル分解し、一又は複数の空間的に分離された波長に対して検出信号を生成する。イオン化されスパッタされたドーパント材料などの励起種は、光を発することによって、励起されたエネルギーレベルから低エネルギーレベルへ減衰しうる。遷移は異なる原子エネルギーレベル間で起こるため、発せられた光の波長は励起種の特定に使用することができる。加えて、発せられた光の強度はまた、プラズマ中の異なる核種の濃度又は分布を反映しうる。したがって、発光分光器170を使用すれば、これらの発光の一部を検出することで、正確な線量管理のため、プラズマ種を監視することができる。コントローラ190中のデータ取得装置は、分離された波長、更にはプラズマ中のイオン種の特性を表すデータを、周期的なサンプリングレートで収集するために使用されうる。収集されたデータは、処理パラメータ(例えば、処理中の基板表面への衝突の程度を制御する、基板上でのバイアス電圧)を調整するため、処理チャンバ100のバイアス電源141や他の制御可能な構成要素に制御信号を生成するため、処理され分析される。
集中排気アセンブリ
[0038] 排出領域111を経由して処理チャンバ100から使用済み処理ガス、汚染物質及び副生成物を取り除く排気アセンブリ148によって、処理領域110内に真空が維持される。排気アセンブリ148は、処理チャンバ100の底部に隣接して配設される真空ポンプ149を含む。真空ポンプ149は、排気導管171を経由して排出領域111と流体連通している。排気導管171は、処理チャンバ100の底部を通って形成された排出口146につながっている。スロットルバルブ147は、使用していないときには真空ポンプ149を分離できるように、排気導管171内に設けられている。真空ポンプ149は、ターボ分子ポンプなどの任意の好適なポンプであってよい。スロットルバルブ147は真空ポンプ149と併用され、処理領域110から排出領域111へ、更に排出口146を経由して処理チャンバ100の外へ排気ガスを対称に引き出すことによって、mTorrレンジでの正確な圧力制御を可能にする。
[0039] 様々な実施形態では、排出口146はペデスタルアセンブリ120の直下に配設される。一実施形態では、排出口146は、処理チャンバ100とほぼ同心になりうる。例えば、排出口146は、処理チャンバ100の中心を垂直に通る中心軸194の周りに対称に配設されうる。中心軸194の周りに排出口146を対称に配置することによって、処理チャンバ100内のガス流の対称性が改善され、基板の円周全体の周りの基板表面上、及び処理チャンバ100の半径方向下向き及び外向きに、更に一様なガス流が可能になる。このように、中心に配置された排出口は一様なプラズマ形成を促進し、チャンバの排出口が非対称であったため、一様なプラズマ密度の供給が困難な従来のプラズマシステムと比較して、処理領域110内のプラズマ種とガス流の大きな制御を可能にする。
リッドアセンブリ
[0040] 上方処理アセンブリ108は、RF源181、直流(DC)源182、アダプタ102、モーター193、及びリッドアセンブリ130を備えうる。リッドアセンブリ130は概して、ターゲット132、マグネトロンシステム189及びリッドエンクロージャ191を備える。上方処理アセンブリ108は、図1に示したように、閉鎖位置にあるときには、側壁104によって支持される。セラミックターゲットアイソレータ136は、アイソレータリングアセンブリ180、ターゲット132とリッドアセンブリ130のアダプタ102との間に配設されて、その間の真空漏れを防止する。上述のように、アダプタ102は側壁104に連結され、上方処理アセンブリ108とアイソレータリングアセンブリ180の除去を支援するように構成されている。
[0041] 処理位置にあるとき、ターゲット132はアダプタ102に隣接して配設され、処理チャンバ100の処理領域110に露出される。ターゲット132は、スパッタリング又はイオン注入処理中に、基板105に埋め込まれる又は堆積される材料を含む。アイソレータリングアセンブリ180は、ターゲット132をシールド160及びチャンバ本体101から電気絶縁するため、ターゲット132とシールド160とチャンバ本体101との間に配設される。
[0042] スパッタリング処理中、ターゲット132は、高圧力下でRF源181及び直流(DC)源182に配設されたソース電力によって、処理チャンバの接地領域(例えば、チャンバ本体101及びアダプタ102)に対してバイアスされる。RF電源とDC電源の組み合わせにより、RF電力のみの場合と比べて処理中に使用される全体のRF電力を低く抑えることが可能で、プラズマに関連する基板の損傷を低減し、デバイスの歩留まりを高めるのに役立つ。しかも、DC電力が供給されているターゲットにRF電力を供給することにより、ターゲット電圧を引き下げ、DC電力が誘導したシースを取り囲み優位に立つ対応のシースを提供する。RF−DC電力が供給されたターゲットは、ターゲットの下方に形成されるより厚いプラズマシースを有し、ターゲットとプラズマとの間に全体的により大きな電圧の低下を有するが、プラズマ中のイオン濃度が上昇するためプラズマの導電率は上がり、これによりターゲット電圧を低・中間RF電力で低下させる。したがって、アルゴンイオン(Ar)は、より厚いシースで更に加速され、より高いスパッタリングイオンエネルギーをもたらす。より厚いプラズマシースはまた、散乱イールドを高める。RF電力の追加はまた、プラズマのイオン化を高め、これにより堆積イオンへの基板バイアスの影響を改善し、その結果、膜のステップカバレッジの改善に役立つ。
[0043] 一実施形態では、RF源181は、RF電力を効率よくターゲット132に供給するように構成されたRF電源181AとRF整合器181Bを備える。多くの実施例では、RF電源181Aは、約0kWから約20kWまでの間、例えば約2kWから約10kWまでの電力で、約1MHzから約128MHzまでの間、例えば、約2MHzから約13.56MHzまでの周波数で、RF電流を生成することができる。一実施例では、RF電源181Aは、5kWの電力で、13.56MHzの周波数でRF電流を供給するように構成されている。DC源182の中のDC電源182Aは、約0kWから約10kWの間、例えば、約4kWのDC電力を供給することができる。処理中に通常のパルスモード又は高周波数パルスモードのどちらが適用されるかにかかわらず、RF電源181Aはターゲットに約0から約33kW/mの間のRF電力密度を生成するように構成され、DC源182は約0から約66kW/mの間の電力密度を生成するように構成されうる。
[0044] パルスコントローラ173は、RF電源181AとDC源182の各々に接続されてよく、パルス制御信号を適用しうる。代替的に、ソース電力は一定の方法で供給されうる。パルスコントローラ173は、RF電源181AとDC源182に対して所望のパルスモード及び/又はデューティサイクルの関係を生成するようにプログラム可能である。同様に、RF電力とDC電力は、通常のパルスモード又は高周波数パルスモードで動作されうる。通常のパルスモードでは、RF電力とDC電力は、約300マイクロ秒から約800マイクロ秒までのパルス持続時間と、約1Hzから約200Hzまで、例えば、約50Hzから約100Hzまでのパルス反復周波数を有しうる。RF電力とDC電力は、50%から90%のデューティサイクルで提供されうる。高周波数パルスモードではRF電力とDC電力は、約100マイクロ秒から約
300マイクロ秒までのパルス持続時間と、約200Hzから約20KHzまで、例えば、約300Hzから約600Hzまでのパルス反復周波数を有しうる。RF電力とDC電力は、1%から10%のデューティサイクルで提供されうる。いずれのモードでも、RF源181とDC源182は同期的に又は非同期的にパルス動作しうる。パルス持続時間又は反復周波数は、指定された膜厚と成長速度を実現するように調整されうる。高パルス高周波数電源は、ターゲットの化学結合を分解し、ターゲット材料のイオン種の大きな分画(fraction)でプラズマを生成するのに役立つ。
[0045] スパッタリング堆積処理中、アルゴンなどのガスは、チャンバ本体101の側壁104に配設されたガス導管144(1つのみを示した)を経由して、ガス源142から処理領域110へ供給される。ガス源142は、高いエネルギーで衝突することができるアルゴン、クリプトン、ヘリウム又はキセノンなどの非反応性ガスと、ターゲット132からのスパッタリング材料を含みうる。ガス源142はまた、スパッタリング材料と反応して基板上に層を形成することができる、一又は複数の酸素含有ガス又は窒素含有ガスなど、任意の所望の反応性ガスを含みうる。他のドーピング種を可能にするため、複数のガス源とガス導管が提供されうる。プラズマは、基板105とターゲット132との間でガスから形成される。プラズマ内のイオンは、ターゲット132に向かって加速され、材料をターゲット132から遊離させる。遊離したターゲット材料は次に基板上に堆積するか、ペデスタルアセンブリ120に高いバイアス電力を印加すると基板に注入される。
[0046] 使用済み処理ガスと副生成物はチャンバ100から排出口146を経由して排出される。排出口は、使用済み処理ガスを受容し、使用済み処理ガスを位置調整可能なスロットルバルブ147を有する排気導管171へ向け、処理チャンバ100内の処理領域110の圧力を制御する。排気導管171は一又は複数の真空ポンプ149に接続されている。一般的に、処理中のチャンバ100内のスパッタリングガスの圧力は、真空環境などの準大気圧レベル、例えば0.6mTorrから400mTorrの圧力に設定される。一実施形態では、処理圧力は約5mTorrから約100mTorrの間に設定される。1つのガス導管144のみが示されているが、処理チャンバの側面を介して他のドーピング種を流し、チャンバ動作圧力でドーピング種を拡散することができるように、側壁104に複数のガス導管を配設しうることが想定されている。
[0047] リッドエンクロージャ191は概して、導電性壁185、中心フィード184及びシールディング186を備える。図示した一構成では、導電性壁185、中心フィード184、ターゲット132及びモーター193の一部は後方領域134を取り囲み、形成する。後方領域134はターゲット132の背面上に配設された密閉領域で、処理中にターゲット132で生成された熱を除去するため、一般的に処理中には流れる流体で満たされている。シールディング186は、取り囲むように配置される一又は複数の誘電体材料を備え、ターゲット132に供給されるRFエネルギーが、クラスタツール103(図2)内に配設された他の処理チャンバと干渉し、影響を及ぼすのを防止しうる。一実施形態では、導電性壁185と中心フィード184は、モーター193とマグネトロンシステム189を支持するように構成されており、その結果、モーター193は処理中にマグネトロンシステム189を回転することができる。モーター193は、誘電体層193Bを使用することによって、電源から供給されるRF又はDC電力から電気絶縁されうる。
マグネトロンアセンブリ
[0048] 効率的なスパッタリングを提供するため、マグネトロンシステム189は、ターゲット132のスパッタリング面133に隣接する処理領域110に磁場を生成するため、上方処理アセンブリ108のターゲット132の後方に配置される。磁場は電子とイオンをトラップするために生成され、これによってプラズマ密度を高め、また、これによってスパッタリング速度を高める。本開示の一実施形態によれば、マグネトロンシステム189は、回転プレート313、外側の極321及び内側の極322を備えるソースマグネトロンアセンブリ320を含む。回転プレート313により、ソースマグネトロンアセンブリ320内の磁場生成構成要素は、処理チャンバ100の中心軸194に対して動くように配置することができる。
[0049] 図3A、3B及び図3Dは、ターゲット132のスパッタリング面133側から見たとき、中心軸194に対して第1の半径方向の位置に配置されるソースマグネトロンアセンブリ320を示している。図3Cは、第1の半径方向の位置とは異なる中心軸194に対する第2の半径方向位置に配置されているソースマグネトロンアセンブリ320を示しており、これは以下で説明されるように、回転方向と速度を調整することによって生成される。回転プレート313は一般的に、垂直方向において第1の磁気極性の外側の極321と、第1の磁気極性とは反対の第2の磁気極性を有する内側の極322とを支持し、磁気的に結合するように適合されている。内側の極322は間隙326によって外側の極321から分離され、それぞれの極は一般的に一又は複数の磁石及び磁極片329を備える。2つの極321、322の間に延在する磁場は、ターゲット132のスパッタリング面の第1の部分に隣接するプラズマ領域“P”(図3D)を生成する。プラズマ領域“P”は、一般的に間隙326の形状に従う高密度のプラズマ領域を形成する。
[0050] 一実施形態では、図3A〜図3Dに示したように、マグネトロンシステム189は、プラズマ領域“P”に形成されたプラズマの強度を低減する非閉鎖ループ設計(例えば、開ループ設計)で、RF源181から、ターゲット132まで、RF電力を供給することによって生成される、改善されたイオン化電位の使用を補償する。RF電力が供給されたプラズマは、プラズマ内の電子への印加されたエネルギーのより効率的な結合、並びに、電子のエネルギーを増やし、プラズマ内のイオン化レベルを高める他の電子−プラズマ相互作用現象により、DC電力が供給されたプラズマよりも、プラズマ内の原子(例えば、ガス原子及び散乱された原子)のイオン化を高めるのにより有効であることが、留意されるであろう。
[0051] 一般的に、「閉ループ」マグネトロン構成は、マグネトロンの外側の極が、マグネトロンの内側の極を取り囲んで、極同士の間に連続ループである間隙を形成するように、構成される。閉ループ構成では、「閉ループ」パターンを形成するターゲットの表面から現れて再入する磁場は、閉パターンでターゲットの表面付近に電子を閉じ込めるために使用することができるが、これはしばしば、「レーストラック」タイプパターンと称される。開ループとは反対に、閉ループのマグネトロン構成は、ターゲット132のスパッタリング面133の近傍に電子を閉じ込め、高密度のプラズマを生成して、スパッタリング収率を高めることができる。
[0052] 開ループマグネトロン構成では、内側の極と外側の極との間に捕捉された電子は、移動し、マグネトロンの開放端に生成されたB場(B−fields)から漏れて抜け出し、その結果、電子の閉じ込めが低下するため、電子はスパッタリング処理中の短い時間だけ保持される。しかしながら、驚いたことに、本書に記載のような開ループマグネトロン構成の利用は、本書に記載のターゲットのRF及びDCスパッタリングと併用した場合には、基板表面全体にわたる材料組成の均一性の改善をもたらすことが明らかになった。
[0053] マグネトロンシステム189の一実施形態では、モーター193によって動力が供給されるロータリシャフト193Aは中心軸194に沿って延在し、半径方向のシフト機構310を支持し、シフト機構310は回転プレート313、釣り合いおもり315及びソースマグネトロンアセンブリ320を備える。これにより、半径方向のシフト機構310は、ソースマグネトロンアセンブリ320を補完的な半径方向に、例えば、モーター193が時計廻り方向R1及び反時計廻り方向R2(図3B、図3C)に回転されるにつれて、中心軸194(すなわち、図3Aの参照符号“S”)に向かう、或いは中心軸194から離れる半径方向に移動する。
[0054] マグネトロンシステム189の一実施形態の等角図である図3Aは一般的に、クランプ314Aによって、その中心でロータリシャフト193Aに固定されたクロスアーム314を含む。クロスアーム314の一端は釣り合いおもり315を支持する。釣り合いおもり315から回転軸194を横切るクロスアーム314の他端は、オフセット垂直ピボット軸319の周りの回転のために、ソースマグネトロンアセンブリ320を回転可能に支持するために使用される、ピボット312又は回転軸受を支持する。一構成では、ピボット軸319は回転軸194にほぼ平行である。この構成では、クロスアーム314上のマグネトロン320により、回転中心194に関して、異なる補完的な半径方向に振れることができる。ソースマグネトロンアセンブリ320の質量中心がピボット軸319から離れているため、補完的な動きが起こる。したがって、クロスアーム314とソースマグネトロンアセンブリ320がモーター193によって回転されるにつれ、ソースマグネトロンアセンブリ320に作用する求心加速度により、モーター193の回転する方向に応じて、ソースマグネトロンアセンブリ320はピボット軸319の周りで一方向に、或いはもう一方の方向に旋回する。ソースマグネトロンアセンブリ320の質量中心は、図3A〜図3Dに示した構成に関して、内側の極322の内部、又は回転軸194の近傍に位置しうるソースマグネトロンアセンブリ320の重心として定義されうる。
[0055] 2つの位置の間の切替は、回転軸194の周りのロータリシャフト193Aの回転方向の反転、及びその結果として、回転軸194の周りのマグネトロンシステム189全体の回転方向の反転によって、有効とされる。図3Dの上面図に図示されているように、ロータリシャフト193Aがクロスアーム314を回転軸194の周りの反時計廻り方向R1に回転するとき、慣性力及び抵抗力(impeding force)により、ソースマグネトロンアセンブリ320に固定されたバンパ316がクロスアーム314の側面に係合するまで、ソースマグネトロンアセンブリ320はピボット軸319の周りを反時計廻り方向に回転する。この処理構成、又はマグネトロン処理位置では、ソースマグネトロンアセンブリ320はターゲット132のエッジに近接した半径方向外向きの位置に配設され、その結果、ソースマグネトロンアセンブリ320は、基板105のスパッタ堆積又はスパッタ注入のため、プラズマをサポートすることができる。この位置はマグネトロン「外」位置、又は第1の処理位置と称されうる。
[0056] あるいは、図3Cの上面図に示したように、ロータリシャフト193Aが回転軸194の周りで反時計廻り方向R2に回転するとき、慣性力及び抵抗力により、ソースマグネトロンアセンブリ320に固定されたバンパ317(図3A)がクロスアーム314の他方の側面に係合するまで、ソースマグネトロンアセンブリ320はピボット軸319の周りを時計廻り方向に回転する。この構成では、ソースマグネトロンアセンブリ320は、ターゲット132のエッジから離れた内側の位置に配設され、回転軸194に接近しており、その結果、ソースマグネトロンアセンブリ320は、ターゲットの中心付近においてプラズマをサポートし、この領域をクリーンな状態にすることができる。この位置はマグネトロン「内」位置、又は第2の処理位置と称されうる。
[0057] 一実施形態では、前述し、図3A及び図3Dに示したように、ソースマグネトロンアセンブリ320は、プラズマ領域“P”に形成されたプラズマの強度を低減するため、非閉鎖ループ設定で形成される。この構成では、非閉鎖ループ設計は、半径D(図3B及び図3D)を有する円弧形状で形成され、円弧の中心から間隙326の中心まで延在する。円弧は、第1の処理位置でマグネトロン内に配設されるとき、円弧の半径Dの中心が回転軸194の中心と同一の広がりを持つように、サイズ決定され配置される。一実施形態では、形成された円弧は、約7.3インチ(185mm)から8.3インチ(210mm)の間の半径Dを有し、ターゲット132は約17.8インチ(454mm)の直径を有する。一実施形態では、円弧は円形状で、約70度から約180度の間、例えば約130度の角度341(図3D)に対応する。一実施形態では、回転軸194から旋回軸319までの距離は円弧の半径Dにほぼ等しい。
[0058] 様々な実施形態では、外側の極321と内側の極322はそれぞれ、間隙326のそれぞれの側にアレイパターンで配置され、磁極片329(図3A)によってキャップされる複数の磁石323を備える。一構成では、外側の極321の磁石323の北(N)極は、回転プレート313から離れて配置され、内側の極322の磁石323の南(S)極は回転プレート313から離れて配置される。幾つかの構成では、磁気ヨーク(図示せず)は、内側及び外側の極の磁石と回転プレート313との間に配設される。一実施例では、ソースマグネトロンアセンブリ320は、内部に18個の磁石を有する外側の極321と、内部に17個の磁石を有する内側の極322とを備える。一実施形態では、磁石323はそれぞれ、先端付近で約1.1kガウスから約2.3kガウスの間の強度を有する磁場を生成するように構成されている。
例示的な処理
[0059] 上記で概説したスパッタリングチャンバは、CVDシステム及びALDシステムと比較して、高い膜品質で様々な金属及び誘電体の低温堆積を可能にすることが証明されている。スパッタリングチャンバの改良で恩恵を受けうる1つの例示的な処理は、3D NANDフラッシュメモリ応用で使用されるカーボンベースのハードマスク膜である。アモルファス水素化炭素膜(a−C:H)などのカーボンベースの材料は、その化学的な不活性、光透過性、及び良好な機械特性により、金属、誘電体材料、又はポリシリコン用のエッチングハードマスクとして使用されてきた。しかしながら、高い水素濃度を有するカーボン膜は、膜構造及び内在的な膜応力の弱さを示すことが多く、その結果、その後のエッチング処理中に、パターン形成された直線的な折れ曲がり又は直線的な破損が起こりうる。実質的にカーボンのみからなるアモルファスカーボン膜は、膜が大きな割合のダイヤモンド結合(sp3結合)を含むため、高い膜硬度と優れたエッチング選択性を示した。水素フリーアモルファスカーボン膜は、PVD法を用いて形成されうる。しかしながら、PVD堆積されたアモルファスカーボン膜はまた、大きな表面粗度及び高い圧縮応力に悩まされている。上記で提案した改良済みのスパッタリングチャンバを用いた、水素フリー膜へのカーボンイオンの注入は、膜応力を大幅に開放し、表面粗度を摩滅することができる。最終の膜ポスト注入は、現行技術水準のカーボンベースハードマスクよりも3倍高い応力の緩和、滑らかな表面、及びエッチング選択性を示す。図1A、図1Bから図3A〜図3Dに関して、上述の処理チャンバを用いて例示的な処理が以下で説明される。
[0060] 図4は、本開示の実施形態によるカーボンベース膜を形成するための例示的な処理のフロー図である。図5A〜図5Cは、図4の処理フロー図を用いて形成されたカーボンベース膜の概略断面図を示す。処理は、ボックス402で、図1に示した処理チャンバ100内に配設されたペデスタルアセンブリ120の上に基板を配置することによって始まる。基板502は、図5Aに示したように、ほぼ平坦な面を有する。代替的に、基板502は、パターン形成された構造、内部に形成されたトレンチ、孔、又はビアを有しうる。基板502は単一の本体として描かれているが、応用に応じて、基板502は、一又は複数の金属層、一又は複数の誘電体材料、半導体材料、及びこれらの組み合わせを含みうる。
[0061] ボックス404では、処理チャンバ100の圧力は、図1に示した真空ポンプ149を用いて、約0.6mTorrから約400mTorrまでの間、例えば、約5mTorrから約30mTorrまでの間に設定される。アルゴンなどの不活性ガスは、処理チャンバのガス導管144を経由して処理領域110に導入される。不活性ガスの流量はチャンバサイズに応じて変動し、直径200mmの処理領域110を有する処理チャンバでの約30〜300sccmから、面積が1300mm×1500mmの処理領域110を有する処理チャンバでの800〜2000sccmまで変化する。当業者であれば、処理チャンバのサイズに応じて、本書に記載の適切な流量及び関連する処理パラメータを容易に決定することができる。
[0062] ボックス406では、プラズマは、(RF源181からの)RF電力を処理チャンバ100に配設されたターゲット132に連結することによって、処理チャンバ100の処理領域110に形成される。カーボン膜が望ましい場合には、カーボンターゲット又はカーボン含有ターゲットが使用される。RF電力は、約0kWから約10kWまで、例えば約1kWから約5kWまでの範囲で、約2MHzから約13.56MHzまでの周波数でターゲット132に供給されうる。
[0063] ボックス408では、ターゲット132はRF電力によって電気的にバイアスされ、DC源182は、DC電力をターゲット132に供給するため、オンにされる。ターゲットに連結されたDC電力は、処理領域110内のアルゴンイオンに高いスパッタリングイオンエネルギーを供給し、カーボンターゲット表面に強い衝撃を与えて、ターゲット132から炭素原子を叩き出す。スパッタされた炭素原子は、基板502の表面に向かって移動して、基板502の表面に到達し、図5Aに示したように、基板の上にカーボン膜504の第1の層を形成する。スパッタリング処理中、ターゲット132には、13.56MHzの周波数で約0kWから20kWまでの範囲の、例えば、約1kWから約10kWまでの範囲のRF電力と、約0kWから約10kWまでの、例えば、約1kWから約5kWまでの範囲のDC電力とが供給されうる。一実施形態では、約1kW/mのRF電力密度と約5kW/mのDC電力密度がターゲットに連結される。スパッタリング処理はある期間、例えば、約0.1秒から約120秒間だけ実行されうるが、この期間はカーボン膜の所望の厚さに応じて変化しうる。
[0064] ソース電力、すなわち、RF電力とDC電力は、定常的に又はパルス状に供給されうる。幾つかの実施形態では、RF電力は定常的に供給されてよく、一方、DC電力はパルス状に供給されてよい、或いはその逆も可能である。幾つかの実施形態では、RF電力とDC電力はパルス状に操作される(パルスRF−DC操作)。既に説明したように、パルスRF−DC操作は、通常のパルスモードと、図1に示したパルスコントローラ173によって制御された高周波数パルスモードを含みうる。通常のパルスモードが望ましい場合、ターゲット132に供給される各RF/DCパルスは、約300マイクロ秒から約800マイクロ秒の、例えば、約350マイクロ秒から約650マイクロ秒のパルス持続時間を有しうる。ターゲット132に供給される各RF/DCパルスは、約1Hzから約200Hzまで、約5Hzから約100Hzまで変化するパルス反復周波数を有しる。RF電力とDC電力は、50%から90%のデューティサイクルで提供されうる。高周波数パルスモードが望ましい場合、ターゲット132に供給される各RF/DCパルスは、約100マイクロ秒から約300マイクロ秒の、例えば、約150マイクロ秒から約250マイクロ秒のパルス持続時間を有しうる。ターゲット132に供給される各RF/DCパルスは、約200Hzから約20KHzまで、例えば、約300Hzから約600Hzまで変化するパルス反復周波数を有しる。RF電力とDC電力は、1%から10%のデューティサイクルで提供されうる。パルス持続時間又は反復周波数は、指定された膜厚と成長速度を実現するように調整されうる。いずれのモードでも、RF源181とDC源182は同期的に又は非同期的にパルス動作しうる。高周波数パルスモードは、ターゲットの化学結合を分解し、ターゲット材料イオン種の大きな分画を有するプラズマの生成に役立つと考えられている。
[0065] スパッタリング処理中、図1に示したマグネトロンシステム189が図3Dに示したように第1の処理位置に配置されるように、マグネトロンシステム189はターゲット132に対して平行移動されてよい。代替的に、マグネトロンシステム189は、ターゲットの中心点の周りでマグネトロンシステム189を回転することによって、図3B〜図3Cに示した任意の所望の処理位置まで移動されてもよい。マグネトロンシステムは、複数の磁石323を備える外側の極321と、複数の磁石323を備える内側の極322を含み、内側の極と外側の極は開ループマグネトロンアセンブリを形成する。既に説明したように、開ループマグネトロン構成の利用は、高圧力下でのターゲットのRF及びDCスパッタリングと併用すると、基板表面全体にわたる基板組成の均一性の改善をもたらす。代替的に、幾つかの実施形態では、外側の極と内側の極は閉ループマグネトロン構成を形成しうる。
[0066] ボックス410では、カーボン膜の第1の層が基板502の表面に堆積すると、RF源181とDC源182は共にオフにされる。図5Bに示したように、高い負のバイアス電圧は次に(バイアス電源141によって)、処理領域110内にまだ残っているカーボンイオン506を堆積されたカーボン膜に注入するため、短時間だけ図1のペデスタルアセンブリ120に提供される。負のバイアス電圧は、約1kWから約30kWまでの間、例えば約5kWから約10kWまでの間になりうる。基板に衝突したカーボンイオンの運動エネルギーは、このような負のバイアス電圧と共に大きくなり、処理されたカーボン膜は、アモルファスと粗い組織により低密度を有する。加えて、高いバイアス電圧が使用されると、高エネルギーカーボンイオン照射により、基板温度は上昇する。その結果、堆積したカーボン膜内の残留応力は緩和される。図5Cは、膜応力が緩和され、滑らかな表面粗度を有する、処理されたカーボン膜を示している。
[0067] 高い負バイアス電圧は、定常的にペデスタルアセンブリ120に印加されうる。幾つかの実施形態では、高い負のバイアス電圧は、既に述べたように、通常のパルスモード又は高周波数パルスモードでペデスタルアセンブリ120に印加される。通常のパルスモードが望ましい場合には、高い負のバイアス電圧は、約100マイクロ秒から約200マイクロ秒までのパルス持続時間と、約1Hzから約200Hzまでの、例えば、約50Hzから約100Hzまでのパルス反復周波数を有しうる。高い負のバイアス電圧は、1%から10%のデューティサイクルで提供されうる。高周波数パルスモードが望ましい場合には、高い負のバイアス電圧は、約100マイクロ秒から約300マイクロ秒までのパルス持続時間と、約200Hzから約20KHzまでの、例えば、約300Hzから約600Hzまでのパルス反復周波数を有しうる。高い負のバイアス電圧は、1%から20%のデューティサイクルで提供されうる。パルス持続時間又は反復周波数は、指定された膜厚と成長速度を実現するように調整されうる。一実施形態では、高周波数パルスモードが適用される場合、バイアス電圧とソース電力は、高周波数パルス源によって励起された高密度のターゲットイオン種が基板表面に堆積及び注入されるように、同期される。その結果、高い堆積速度が実現される。
[0068] 図6A及び図6Bは、図1の処理チャンバを用いた、バイアス電圧と注入特性との関係を示している。具体的には、図6Aは、本開示の実施形態により、DC電圧と線量をバイアス電力の関数として示している。図6Bは、本開示の実施形態により、スパッタ速度のグラフをバイアス電力の関数として示している。図に示すように、注入線量及びスパッタリング速度は共に、バイアス電力が高くなると増加する。これは、高い負のバイアス電力は、ドーピングレベルに加えて、基板表面に当たるイオンのエネルギーに影響を及ぼすからである。図6Cは、本開示の実施形態による、イオン種の注入プロファイルのシミュレーションデータのグラフを示している。特に、図6Cは、シミュレーション及び二次イオン質量分析(SIMS)によるターゲット膜中のドープされたアルゴン及び微量のカーボンイオンの特徴を示している。これらすべての情報により、当業者は、DCバイアス電圧モニタリングに基づいて、指定された注入エネルギーを調整又は制御することができ、堆積ー注入サイクルを実行するため、本開示で説明したように、バイアス、ソース電力、圧力及びパルス持続時間を制御することによって、総線量を制御し、計算し、スパッタ速度を調整することができる。
[0069] 図7A及び図7Bは、本開示の様々な実施形態に適用されうる通常のパルスモード及び高周波数パルスモードのそれぞれに対するデューティサイクル及びパルス変動の概略図である。図7Aでわかるように、ソース電力(すなわち、RF電力とDC電力)のパルス及びバイアス電圧は循環的な堆積及び処理工程に対して制御され、バイアス電圧は、ソース電力がオフサイクル“T(off)”の間にオン“T(on)”にされ、注入イオンのソースプラズマの残留ラジカルを使用する。図7Bは、堆積速度を高めるため、バイアス電圧とソース電力が同期されていることを示している。
[0070] ボックス412では、堆積したカーボン膜がカーボンイオンで処理されると、基板502は次の成長サイクルのため冷却される。
[0071] ボックス414では、カーボン膜が所望の厚さに達するまで、ボックス406〜412で記述された処理が反復される。図5D〜図5Iは、カーボン膜の第2の層510及びカーボン膜の第3の層512がカーボン膜の第1の層504の上に順次形成され、カーボンイオンで処理される、別の2つの成長サイクルを概略的に示している。カーボン膜の3つの層が図解されているが、本開示の実施形態は、特定の数の層に限定されない。
[0072] 上の記述は主にカーボン膜形成の工程を説明しているが、この概念は本書に記載の開示の範囲を限定することを意図していない。本開示の実施形態は、金属を堆積する能力を提供するもので、その金属には、限定するものではないが、スカンジウム(Sc)、イットリウム(Y)、ジルコニウム(Zr)、ランタン(La)、セリウム(Ce)、プラセオジム(Pr)、ネオジウム(Nd)、サマリウム(Sm)、ユウロピウム(Eu)、ガドリニウム(Gd)、テルビウム(Th)、ジスプロシウム(Dy)、ホルミウム(Ho)、エルビウム(Er)、ツリウム(Tm)、イッテルビウム(Yb)、ルテチウム(Lu)、及びハフニウム(Hf)が含まれうる。アルミニウム、銅、ニッケル、プラチナ、銀、クロム、金、モリブデン、シリコン、ルテニウム、タンタル、窒化タンタル、炭化タンタル、窒化チタン、タングステン、窒化タングステン、アルミナ、酸化ランタン、ニッケルプラチナ合金、及びチタン、並びにこれらの組み合わせなどの他の金属もまた、本開示の恩恵を受けうる。
[0073] 要約すると、本開示の実施形態は、高バイアス電圧(例えば、1kW以上)をペデスタルアセンブリに連結することによって、スパッタリングチャンバがインシトゥ(その場)イオン注入機能を提供することを可能にする。ペデスタルアセンブリは平坦な基板受容面を有し、高電力で容易にアーク放電を引き起こしうる基板受容面に形成されたガス導管又は溝を有さないバルクセラミックによって製造される。スパッタリングチャンバは、基板の損傷に関連付けられるプラズマを最小限としながら、ターゲットに強力な衝撃を与えるためターゲットに連結されるRF−DC電源を利用する。ターゲットにRF電力を加えることはまた、堆積するイオンへの基板バイアスの影響の改善に役立つプラズマのイオン化を高める。発光分光器は、正確な線量制御のため、注入バイアス電圧及び線量を同時に又はほぼ同時に測定及び/又は制御するため、ペデスタルアセンブリに取り付けられたプローブを用いて操作するため、スパッタリングチャンバ内部に配設される。スパッタリングチャンバはまた、スパッタリングチャンバに同心に配設された排出口を有する、側面ガス注入及び排気アセンブリを提供する。排出口の対称な配置は、基板表面上でより一様なガス流を可能にし、一様なプラズマ形成を促進するため、スパッタリングチャンバ内に改善された対称なガス流をもたらす。これらの独自のチャンバ設計は組み合わせることにより、従来のPVD、CVD又はALDシステムと比較して、高い膜品質で、金属から誘電体まで複数の材料で、低温堆積を可能にする。
[0074] 上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は以下の特許請求の範囲によって決まる。

Claims (15)

  1. 基板処理のための処理チャンバであって、
    前記処理チャンバの処理領域に配設された第1の面、並びに前記第1の面と反対側の第2の面を有するターゲットと、
    前記ターゲットに連結されたRF電源と、
    前記ターゲットに連結されたDC電源と、
    基板支持体であって、該基板支持体の直径全体にわたる平坦な基板受容面を有する支持体本体を備える基板支持体と
    前記基板支持体に連結されたバイアス電源と、
    前記バイアス電源に連結されたパルスコントローラであって、約100マイクロ秒から約200マイクロ秒までのパルス持続時間と約1Hzから約200Hzまでのパルス反復周波数を有する通常のパルスモードで、或いは、約100マイクロ秒から約300マイクロ秒までのパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数を有する高周波数パルスモードで、バイアス電力が供給されるように、前記バイアス電源にパルス制御信号を印加するように構成されたパルスコントローラと、
    前記処理チャンバの底部を通って形成された同心の排出口を有する排気アセンブリと
    を備える、処理チャンバ。
  2. 前記ターゲットの前記第2の面に隣接して配設された回転可能なマグネトロンを更に備える、請求項1に記載の処理チャンバ。
  3. 前記RF電源と前記DC電源は、約300マイクロ秒から約800マイクロ秒までのパルス持続時間と約1Hzから約200Hzまでのパルス反復周波数で動作するように構成されている、請求項1に記載の処理チャンバ。
  4. 前記RF電源と前記DC電源は、50%から90%のデューティサイクルで動作するように構成されている、請求項3に記載の処理チャンバ。
  5. 前記RF電源と前記DC電源は、約100マイクロ秒から約300マイクロ秒までのパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数で動作するように構成されている、請求項1に記載の処理チャンバ。
  6. 前記RF電源と前記DC電源は、1%から10%のデューティサイクルで動作するように構成されている、請求項5に記載の処理チャンバ。
  7. 前記バイアス電源は、約1kWから約25kWまでの負のバイアス電圧を供給するように構成されている、請求項1に記載の処理チャンバ。
  8. 前記バイアス電源は、1%から10%のデューティサイクルで稼働する通常のパルスモードで動作するように構成されている、請求項7に記載の処理チャンバ。
  9. 前記バイアス電力は、1%から20%のデューティサイクルで稼働する高周波数パルスモードで動作するように構成されている、請求項7に記載の処理チャンバ。
  10. プラズマ処理チャンバであって、
    スパッタリングターゲットと、
    前記スパッタリングターゲットの表面に隣接して配設された回転マグネトロンと、
    前記スパッタリングターゲットに連結されたRF電源と、
    前記スパッタリングターゲットに連結されたDC電源と、
    基板受容面を備え、前記処理チャンバの側壁からカンチレバーによって装着されている支持体本体であって、前記基板受容面の少なくとも直下の部分は前記基板受容面の直径全体にわたって一様な厚みを有する支持体本体と、
    前記支持体本体に連結されたバイアス電源と、
    前記バイアス電源に連結されたパルスコントローラであって、約100マイクロ秒から約200マイクロ秒までのパルス持続時間と約1Hzから約200Hzまでのパルス反復周波数を有する通常のパルスモードで、或いは、約100マイクロ秒から約300マイクロ秒までのパルス持続時間と約200Hzから約20KHzまでのパルス反復周波数を有する高周波数パルスモードで、バイアス電力が供給されるように、前記バイアス電源にパルス制御信号を印加するパルスコントローラと、
    前記処理チャンバの前記側壁を通って配設されたガス導管と、
    前記処理チャンバの前記側壁に連結されたシールドであって、処理領域の一部を少なくとも部分的に包囲するように下向きに延在するシールドと、
    前記処理チャンバの底部を通って形成された排出口を有する排気アセンブリであって、前記排出口が前記処理チャンバの中心を通る中心軸の周りに対称に配設される排気アセンブリと、
    を備える、プラズマ処理チャンバ。
  11. 前記RF電源と前記DC電源は、前記バイアス電源と同期して動作するように構成されている、請求項10に記載のプラズマ処理チャンバ。
  12. 前記RF電源と前記DC電源は、前記バイアス電源とは非同期的に動作するように構成されている、請求項10に記載のプラズマ処理チャンバ。
  13. 前記RF電源と前記DC電源は、50%から90%のデューティサイクルで動作するように構成されている、請求項10に記載の処理チャンバ。
  14. 前記RF電源と前記DC電源は、1%から10%のデューティサイクルで動作するように構成され、前記バイアス電源は、約1kWから約25kWまでの負のバイアス電圧を供給するように構成されている、請求項10に記載の処理チャンバ。
  15. 基板処理の方法であって、
    処理チャンバの処理領域に配設された基板支持体の上に基板を提供することであって、前記処理チャンバは前記処理チャンバ内に配設されたターゲットに連結されたRF電源とDC電源を有する、基板を提供することと、
    前記処理領域をmTorrレンジまで排気することと、
    前記処理領域にプラズマを形成するため、前記ターゲットにRF電力を供給することと、
    前記基板の表面に膜層を形成するため、前記ターゲットにDC電力を供給することと、
    所定の厚さの前記膜層が形成された後、前記RF電源と前記DC電源をオフにして、前記処理領域に残っているイオンを前記基板の前記表面に注入する短い時間だけ、約1kWから約30kWまでのバイアス電力を、前記基板支持体に供給することと、
    を含む、基板処理の方法。
JP2019539977A 2017-01-25 2017-12-29 堆積、注入、及び処理のための、複数の反応ガス、高バイアス電力、並びに高電力インパルス源によるpvdチャンバの拡張 Pending JP2020507675A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762450318P 2017-01-25 2017-01-25
US62/450,318 2017-01-25
PCT/US2017/069033 WO2018140193A2 (en) 2017-01-25 2017-12-29 Extension of pvd chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment

Publications (1)

Publication Number Publication Date
JP2020507675A true JP2020507675A (ja) 2020-03-12

Family

ID=62905681

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019539977A Pending JP2020507675A (ja) 2017-01-25 2017-12-29 堆積、注入、及び処理のための、複数の反応ガス、高バイアス電力、並びに高電力インパルス源によるpvdチャンバの拡張

Country Status (6)

Country Link
US (1) US10927449B2 (ja)
JP (1) JP2020507675A (ja)
KR (1) KR20190102092A (ja)
CN (1) CN110225995A (ja)
TW (1) TW201840249A (ja)
WO (1) WO2018140193A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022255118A1 (ja) * 2021-06-01 2022-12-08 東京エレクトロン株式会社 プラズマ処理装置及び基板支持器

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7332614B2 (ja) * 2018-03-23 2023-08-23 アプライド マテリアルズ インコーポレイテッド 分離した裏側ヘリウム供給システム
US10515802B2 (en) * 2018-04-20 2019-12-24 Varian Semiconductor Equipment Associates, Inc. Techniques for forming low stress mask using implantation
TWI815945B (zh) * 2018-08-10 2023-09-21 美商應用材料股份有限公司 多陰極沉積系統
CN116844934A (zh) 2019-02-05 2023-10-03 东京毅力科创株式会社 等离子体处理装置
JP6797273B2 (ja) * 2019-02-05 2020-12-09 東京エレクトロン株式会社 プラズマ処理装置
US11473189B2 (en) * 2019-02-11 2022-10-18 Applied Materials, Inc. Method for particle removal from wafers through plasma modification in pulsed PVD
JP7285745B2 (ja) * 2019-09-18 2023-06-02 東京エレクトロン株式会社 成膜システム、磁化特性測定装置、および成膜方法
CN112853286A (zh) * 2019-11-12 2021-05-28 应用材料公司 压电膜的物理气相沉积
CN110894592A (zh) * 2019-12-25 2020-03-20 中建材蚌埠玻璃工业设计研究院有限公司 一种自旋半导体ZrO2薄膜的制备方法
JP7426842B2 (ja) * 2020-02-12 2024-02-02 東京エレクトロン株式会社 ステージ装置、給電機構、および処理装置
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
CN116762160A (zh) * 2020-11-16 2023-09-15 应用材料公司 用于应力均匀的rf偏压的区域控制的方法与设备
KR102349212B1 (ko) * 2021-04-28 2022-01-07 권순영 조성 조절이 가능한 코팅층 형성방법
CN114000116A (zh) * 2021-10-20 2022-02-01 江苏集创原子团簇科技研究院有限公司 矩形用于团簇束流源高功率脉冲磁控溅射装置及测试方法
CN114045466A (zh) * 2021-10-20 2022-02-15 江苏集创原子团簇科技研究院有限公司 圆形用于团簇束流源的高功率脉冲磁控溅射装置及测试方法
US20240112883A1 (en) * 2022-09-30 2024-04-04 Applied Materials, Inc. Helical voltage standoff

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195273A (ja) * 1988-01-29 1989-08-07 Hitachi Ltd 基板バイアス方式のスパッタリング方法及びその装置
JP2005054802A (ja) * 2003-08-05 2005-03-03 United Technol Corp <Utc> タービン部材の修理方法および材料堆積装置
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
JP2013538295A (ja) * 2010-09-17 2013-10-10 アプライド マテリアルズ インコーポレイテッド 高アスペクト比特徴部に金属を堆積させる方法
JP2015529009A (ja) * 2012-07-02 2015-10-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 物理的気相成長法による窒化アルミニウムの緩衝及び活性層

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3323530B2 (ja) * 1991-04-04 2002-09-09 株式会社日立製作所 半導体装置の製造方法
US5830330A (en) 1997-05-22 1998-11-03 Tokyo Electron Limited Method and apparatus for low pressure sputtering
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6544895B1 (en) 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6896773B2 (en) * 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
SG143940A1 (en) 2003-12-19 2008-07-29 Agency Science Tech & Res Process for depositing composite coating on a surface
JP5156014B2 (ja) 2007-06-28 2013-03-06 長太 松本 眼科検査装置
KR100955935B1 (ko) 2007-12-21 2010-05-03 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20120244290A1 (en) * 2011-03-24 2012-09-27 United Technologies Corporation Deposition Substrate Temperature and Monitoring
JP6609425B2 (ja) * 2015-06-17 2019-11-20 株式会社日立ハイテクノロジーズ プラズマ処理装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195273A (ja) * 1988-01-29 1989-08-07 Hitachi Ltd 基板バイアス方式のスパッタリング方法及びその装置
JP2005054802A (ja) * 2003-08-05 2005-03-03 United Technol Corp <Utc> タービン部材の修理方法および材料堆積装置
JP2009529789A (ja) * 2006-03-09 2009-08-20 アプライド マテリアルズ インコーポレイテッド 低エネルギープラズマシステムを用いた高誘電率トランジスタゲートを製造するための方法及び装置
JP2013538295A (ja) * 2010-09-17 2013-10-10 アプライド マテリアルズ インコーポレイテッド 高アスペクト比特徴部に金属を堆積させる方法
JP2015529009A (ja) * 2012-07-02 2015-10-01 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 物理的気相成長法による窒化アルミニウムの緩衝及び活性層

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022255118A1 (ja) * 2021-06-01 2022-12-08 東京エレクトロン株式会社 プラズマ処理装置及び基板支持器

Also Published As

Publication number Publication date
US20180209035A1 (en) 2018-07-26
KR20190102092A (ko) 2019-09-02
CN110225995A (zh) 2019-09-10
US10927449B2 (en) 2021-02-23
TW201840249A (zh) 2018-11-01
WO2018140193A3 (en) 2018-09-20
WO2018140193A2 (en) 2018-08-02

Similar Documents

Publication Publication Date Title
US10927449B2 (en) Extension of PVD chamber with multiple reaction gases, high bias power, and high power impulse source for deposition, implantation, and treatment
KR101841236B1 (ko) 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
CN108780742B (zh) 用于在物理气相沉积工艺中控制离子分数的方法和设备
KR100322330B1 (ko) 재료의 이온 스퍼터링 방법 및 장치
TWI427172B (zh) 微波輔助旋轉物理氣相沉積
KR100917463B1 (ko) 마그네트론 캐소드 및 이를 채용하는 마그네트론 스퍼터링장치
CN106415785B (zh) 用于改良的金属离子过滤的方法和设备
JP3737363B2 (ja) 不均一性補償を伴う表面の物理的気相処理
KR20140001203A (ko) 고 종횡비 피쳐들 내에 금속을 증착하기 위한 방법들
US20080011600A1 (en) Dual hexagonal shaped plasma source
US20140048413A1 (en) Film-forming apparatus
KR101593544B1 (ko) 스퍼터링 장치 및 스퍼터링 방법
US20090321247A1 (en) IONIZED PHYSICAL VAPOR DEPOSITION (iPVD) PROCESS
KR101438129B1 (ko) 스퍼터링 장치
US8016985B2 (en) Magnetron sputtering apparatus and method for manufacturing semiconductor device
JP4197149B2 (ja) スパッタリング装置
KR20040012264A (ko) 고효율 마그네트론 스퍼터링 장치
US20230282451A1 (en) Cover ring to mitigate carbon contamination in plasma doping chamber
TW202235648A (zh) 物理氣相沉積裝置、沉積薄膜的方法和形成半導體結構的方法
CN114914168A (zh) 半导体沉积系统及其操作方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201027

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210629