JP2023516865A - パルスバイアスを使用したオーバーハングの低減 - Google Patents

パルスバイアスを使用したオーバーハングの低減 Download PDF

Info

Publication number
JP2023516865A
JP2023516865A JP2022542969A JP2022542969A JP2023516865A JP 2023516865 A JP2023516865 A JP 2023516865A JP 2022542969 A JP2022542969 A JP 2022542969A JP 2022542969 A JP2022542969 A JP 2022542969A JP 2023516865 A JP2023516865 A JP 2023516865A
Authority
JP
Japan
Prior art keywords
substrate surface
substrate
feature
bias
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022542969A
Other languages
English (en)
Inventor
ベンチェルキ メバルキ
コマル エス ガーデ
キショー カラシパラムビル
ジョン ジュ リー
シャンミン タン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023516865A publication Critical patent/JP2023516865A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本開示の実施形態は、堆積膜のオーバーハングを低減させることによって、基板特徴の開口幅を拡大する方法に関する。本開示のいくつかの実施形態は、高エネルギーのバイアスパルスを利用して、基板特徴の開口付近の堆積膜をエッチングする。本開示のいくつかの実施形態は、下にある基板を損傷することなく堆積膜をエッチングする。

Description

本開示の実施形態は、一般に、物理的気相堆積の方法に関する。詳細には、本開示の実施形態は、オーバーハングを低減させ、特徴内に堆積されたPVD膜の開口幅を改善する方法に関する。
半導体回路素子の小型化は、45nm、32nm、28nm、20nm、およびさらにはそれ以下の特徴サイズが商業規模で製造されるところまできた。寸法が引き続きますます小さくなるにつれて、回路素子間の間隙の充填のようなプロセスステップに関して新しい難題が生じている。素子間の幅が引き続き縮小するにつれて、素子間の間隙はより高くかつより狭くなることが多く、間隙充填材料がボイドおよび弱い継ぎ目を生じることなく間隙を充填するのがより困難になる。
半導体集積回路の製造では、金属および他の材料の堆積のために、物理的気相堆積(PVD)とも呼ばれるスパッタリングが使用される。スパッタリングの使用は、ビアまたは他の垂直相互接続構造などの高アスペクト比の孔または間隙の側壁への材料層の堆積にまで及んでいる。
PVD技法では、完全に充填される前に、間隙の頂部において材料の過剰成長またはオーバーハングが発生することが多い。このようなオーバーハングが生じると、堆積させた材料がオーバーハングによって切り離された間隙内にボイドまたは継ぎ目が生じる可能性がある。これは時としてブレッドローフィングと呼ばれる問題である。
オーバーハングを低減させる現在の方法では、基板に印加される連続波(CW)バイアスを利用する。しかしこれらの方法では、電力範囲が制限される。さらに、CWバイアスは、高い電力レベルで動作すると、下にある基板に損傷を引き起こす可能性がある。
それに応じて、下にある基板を損傷することなく基板特徴または間隙の頂部におけるオーバーハングの形成を防止または解消する物理的気相堆積の方法が必要とされている。
本開示の1つまたは複数の実施形態は、物理的気相堆積の方法を対象とする。この方法は、物理的気相堆積(PVD)チャンバ内の材料ターゲットをスパッタリングして、頂面から底面まで深さを延ばす特徴を含む基板面に材料層を形成することを含む。特徴は、第1の側壁および第2の側壁によって画定された開口幅を基板面に有する。材料層の頂面における横方向厚さは、特徴内の第1の側壁または第2の側壁上の厚さより大きい。低いエネルギーのDCバイアスで基板面にバイアスをかけることによって、基板面に追加の材料層が堆積される。高いエネルギーのDCバイアスで基板面にバイアスをかけることによって、材料層は基板面からエッチングされる。低いエネルギーおよび高いエネルギーを所定の周波数で交互に繰り返して、基板面における横方向厚さと特徴内の横方向厚さとの間の差を低減させる。
本開示の追加の実施形態は、オーバーハング低減の方法を対象とする。この方法は、材料ターゲットを有する物理的気相堆積(PVD)チャンバ内のDCバイアスで材料層を含む基板にバイアスをかけることを含む。基板は、基板面から底面まで深さを延ばす特徴を含む。特徴は、第1の側壁および第2の側壁によって画定された開口幅を基板面に有する。材料層の基板面における横方向厚さは、特徴内の横方向厚さより大きい。低エネルギーのバイアスおよび高エネルギーのバイアスを所定の周波数で交互に繰り返して、基板面における横方向厚さと特徴内の横方向厚さとの間の差を低減させる。
本開示のさらなる実施形態は、銅ライナを堆積させる方法を対象とする。この方法は、物理的気相堆積(PVD)チャンバ内の銅ターゲットをスパッタリングして、基板面から底面まで深さを延ばす特徴を含む基板面に銅層を形成することを含む。特徴は、第1の側壁および第2の側壁によって画定された開口幅を基板面に有する。銅層の基板面における横方向厚さは、特徴内の横方向厚さより大きい。約50W~約100Wの範囲内の低いエネルギーのDCバイアスで基板面にバイアスをかけることによって、基板面に追加の銅層が堆積される。約1000W~約1500Wの範囲内の高いエネルギーのDCバイアスで基板面にバイアスをかけることによって、銅層は基板面からエッチングされる。低いエネルギーおよび高いエネルギーを約1kHzの所定の周波数で交互に繰り返して、基板面における横方向厚さと特徴内の横方向厚さとの間の差を低減させる。
本開示の上述した特徴を詳細に理解することができるように、実施形態を参照することによって、上記で簡単に要約した本開示のより具体的な説明を得ることができ、実施形態のいくつかを添付の図面に示す。しかし、本開示は他の等しく有効な実施形態も許容しうるため、添付の図面は、本開示の典型的な実施形態を示すだけであり、したがって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
本開示の1つまたは複数の実施形態による特徴を有する例示的な基板の断面図である。 本開示の1つまたは複数の実施形態による処理方法の例示的な流れ図である。 本開示の1つまたは複数の実施形態によるオーバーハングを有する材料層を含む例示的な基板の断面図である。 本開示の1つまたは複数の実施形態による基板に印加されるDCバイアスの波形図である。 本開示の1つまたは複数の実施形態による低減されたオーバーハングを有する材料層を含む例示的な基板の断面図である。 本開示の1つまたは複数の実施形態による物理的気相堆積(PVD)チャンバの概略断面図である。
本開示のいくつかの例示的な実施形態について説明する前に、本開示は、以下の説明に記載の構造またはプロセスステップの詳細に限定されるものではないことを理解されたい。本開示は、他の実施形態が可能であり、様々な方法で実行または実施することが可能である。
本明細書および添付の特許請求の範囲で使用されるとき、「基板」という用語は、プロセスが作用する表面または表面の一部分を指す。文脈上別途明白に指示しない限り、基板への言及は、基板の一部分のみを指す可能性もあることが、当業者には理解されよう。加えて、基板上に堆積させることへの言及は、裸の基板および1つまたは複数の膜または特徴が堆積または形成された基板の両方を意味することができる。
本明細書では、「基板」とは、製造プロセス中に膜処理が実行される任意の基板または基板上に形成された材料表面を指す。たとえば、処理を実行することができる基板面には、その適用分野に応じて、シリコン、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素でドープされた酸化ケイ素、非晶質シリコン、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、サファイア、ならびに任意の他の材料、たとえば金属、金属窒化物、金属合金、および他の導電性材料などの材料が含まれる。基板には、非限定的であるが、半導体ウエハが含まれる。基板は、基板面の研磨、エッチング、還元、酸化、ヒドロキシル化、アニーリング、UV硬化、電子ビーム硬化、および/または焼成のために、前処理プロセスに露出させることができる。基板自体の表面に対する直接の膜処理に加えて、本開示では、以下により詳細に開示するように、基板上に形成される下層に対して、開示する膜処理ステップのいずれかを実行することもでき、「基板面」という用語は、文脈が指示するそのような下層も含むことが意図される。したがってたとえば、膜/層または部分的な膜/層が基板面上へ堆積される場合、新しく堆積された膜/層の露出面が基板面になる。
本開示の1つまたは複数の実施形態は、物理的気相堆積によって形成されるオーバーハングを低減させる方法を対象とする。本開示のいくつかの実施形態は、下にある基板を損傷することなくオーバーハングを除去する堆積-エッチングサイクルを提供することが有利である。本開示のいくつかの実施形態は、より大きい特徴開口を提供することによって、後の金属化を容易にすることが有利である。
1つまたは複数の実施形態による処理のための例示的な基板82が、図1に示されている。いくつかの実施形態では、基板84は、基板面18とも呼ばれる露出面を有するベース材料15を含む。基板面18は、頂部22から底面26まで深さDを延ばす特徴20を含む。特徴は、第1の側壁24および第2の側壁25によって画定された開口幅WOを有する。いくつかの実施形態では、第1の側壁24および第2の側壁25は、連続する側壁(たとえば、円形のビア)の対向する面である。
いくつかの実施形態では、開口幅WOは、約8nm~約25nmの範囲内または約10nm~約20nmの範囲内である。いくつかの実施形態では、開口幅WOは、約10nm、約14nm、約16nm、約20nm、または約22nmである。
いくつかの実施形態では、ベース材料15は誘電体を含む。いくつかの実施形態では、ベース材料15は、窒化ケイ素、酸窒化ケイ素、炭窒化ケイ素、酸化ケイ素、または酸炭化ケイ素のうちの1つまたは複数を含む。いくつかの実施形態では、ベース材料15は、本質的に酸化ケイ素からなる。これに関連して使用されるとき、本質的に記載の材料からなる材料は、モルベースで約95%以上、約98%以上、約99%以上、または約99.5%以上の記載の材料を含む。
図2および図3を参照すると、基板82を処理する例示的な方法100は、任意選択の動作110から始まり、物理的気相堆積(PVD)チャンバ内の材料ターゲットをスパッタリングして、基板面18にオーバーハング40を有する材料層30を形成する。材料層30の特徴20の頂部22における横方向厚さT1は、特徴20内の側壁24上の厚さTSより大きい。T1とTSとの間の差をオーバーハング40と呼ぶ。材料層30が堆積した状態では、特徴の頂部における特徴の開口WOが、側壁24、25間の特徴の幅より小さくなる。
いくつかの実施形態では、スパッタリングプロセスは、特徴20の外側の基板面18上に、約10nm~約20nmの範囲内または約12nm~約18nmの範囲内の厚さを有する材料層30を形成する。いくつかの実施形態では、スパッタリングプロセスは、特徴20の外側の基板面18上に、約15nmの厚さを有する材料層30を形成する。
材料ターゲットおよび材料層30は、同じ材料を含む。いくつかの実施形態では、材料は導体を含む。いくつかの実施形態では、材料は、銅、タングステン、コバルト、ルテニウム、モリブデン、インジウム、イリジウム、またはロジウムのうちの1つまたは複数を含む。いくつかの実施形態では、材料は誘電体を含む。いくつかの実施形態では、材料は、窒化チタン、窒化タンタル、窒化ルテニウム、窒化アルミニウム、酸化ケイ素、酸化アルミニウム、または酸窒化アルミニウムのうちの1つまたは複数を含む。
方法100は、dep-etchサイクル120とも呼ばれる堆積-エッチングサイクルによって、オーバーハング40を低減させることで継続される。dep-etchサイクル120は、1つの堆積段階122および1つのエッチング段階124を含む。図2および図4では、堆積段階122がエッチング段階124に先行することが示されているが、この順序は限定的ではなく、任意のdep-etchサイクル120中にいずれの段階を最初に実行してもよいことが、当業者には理解されよう。dep-etchサイクル120は、堆積段階122またはエッチング段階124のいずれから始めてもよい。
堆積段階122は、低いエネルギーのDCバイアスで基板面18にバイアスをかけることによって、基板面18に追加の材料層30を堆積させる。いくつかの実施形態では、低いエネルギーは、約10W~約100Wの範囲内、約20W~約100Wの範囲内、約50W~約100Wの範囲内、または約50W~約75Wの範囲内である。いくつかの実施形態では、低いエネルギーは約70Wである。
エッチング段階124は、高いエネルギーのDCバイアスで基板面18にバイアスをかけることによって、材料層30を基板面18からエッチングする。いくつかの実施形態では、高いエネルギーは、約200W~約3000Wの範囲内、約500W~約2500Wの範囲内、または約1000W~約2000Wの範囲内である。いくつかの実施形態では、高いエネルギーは約1400Wである。
理論に拘束されるものではないが、高エネルギーのバイアスを基板面18に長期間にわたって印加することはできないと考えられる。高エネルギーのバイアスがあまりにも長く印加された場合、ベース材料15がバイアスによって損傷される可能性があり、またはこのエネルギーによって基板から処理チャンバの他の部分へ電弧が生じる可能性がある。それに応じて、本発明者らは、驚くべきことに、高エネルギーおよび低エネルギーのバイアスの短いバーストを使用することによって、下にあるベース材料15を損傷することなく材料層30をエッチングすることができることを見出した。いくつかの実施形態では、基板は実質的に損傷されない。基板の損傷は、物理的な層の損傷(層の分離、粘着)の場合は物理的に評価することができ、構造上の損傷の場合はTEMによって評価することができ、化学的な損傷の場合はEELS分析によって評価することができ、または複合的な損傷の場合は電気分析によって評価することができる。
dep-etchサイクル120中、堆積段階122およびエッチング段階124は交互に繰り返される。いくつかの実施形態では、堆積段階122とエッチング段階124との間の時間は最小化される。図4は、dep-etchサイクル120中の経時的なバイアス電力の波形200を示す。堆積段階122は、期間tDを有する領域210において、低いエネルギーにあることが示されている。エッチング段階124は、期間tEを有する領域220において、高いエネルギーにあることが示されている。
図4に示す波形200は、連続波(CW)波形とは異なる。CW波形では、バイアスエネルギーは穏やかに増減し、高いエネルギーおよび低いエネルギーにおいて山および谷を有する正弦タイプの波を形成する。本発明者らは、CWタイプのバイアス波形では、高エネルギーのバイアスが、ベース材料15を損傷することなく基板面18に印加することができるものよりはるかに低いことを見出した。対照的に、本発明の波形200は、領域210の低エネルギーのバイアスから領域220の高エネルギーのバイアスへ迅速に遷移する。
バイアス電力の周波数が制御される。いくつかの実施形態では、この周波数は、約1Hz~約10kHzの範囲内または約100Hz~約5kHzの範囲内である。いくつかの実施形態では、周波数は約1kHzである。
デューティサイクルは、1サイクルのうち、高エネルギーのバイアスを基板面に印加するために費やされる時間の割合である。いくつかの実施形態では、デューティサイクルは、約5%~約95%の範囲内、約10%~約90%の範囲内、約20%~約80%の範囲内、約30%~約70%の範囲内、約40%~約60%の範囲内、または約45%~約55%の範囲内である。いくつかの実施形態では、デューティサイクルは約50%である。
dep-etchサイクル120は、オーバーハング40の十分な厚さが除去されるまで繰り返される。図5に示すように、複数のdep-etchサイクル後、基板82は、低減されたオーバーハング40を含む材料層30を有する。言い方を変えれば、特徴20の頂部22における低減された横方向厚さT2と特徴20内の側壁24上の厚さTSとの間の差が低減される。いくつかの実施形態では、特徴内の厚さTSは、dep-etchサイクル120によって実質的に変化しない。いくつかの実施形態では、特徴内の厚さTSは、dep-etchサイクル120によって増大される。
いくつかの実施形態では、dep-etchサイクル120は、特徴20の外側の基板面18上に、追加の材料層を堆積させる。いくつかの実施形態では、dep-etchサイクル120は、特徴の外側の基板面18上に、約2nm以上、約4nm以上、約6nm以上、または約8nm以上堆積させる。いくつかの実施形態では、dep-etchサイクル120は、特徴20の外側の基板面18上に、約6nmの材料層を堆積させる。
決定点130において、特徴20の開口幅WOが十分であるかどうかが判定される。開口幅WOが十分でない場合、方法100は戻って追加のdep-etchサイクル120を実行する。開口幅WOが十分である場合、基板は動作140でさらなる処理を受けることができる。
いくつかの実施形態では、動作140のさらなる処理は、特徴20内に導電性充填材料を堆積させることを含む。いくつかの実施形態では、導電性充填材料は、材料層30とは異なる材料を含む。いくつかの実施形態では、導電性充填材料は、金属または金属合金を含む。いくつかの実施形態では、導電性充填材料は、銅、タングステン、コバルト、ルテニウム、モリブデン、インジウム、イリジウム、またはロジウムのうちの1つまたは複数を含む。
いくつかの実施形態では、材料ターゲットをスパッタリングする前に、特徴20の開口幅は約10nm~約20nmの範囲内であり、材料ターゲットをスパッタリングすることで、特徴20の外側の基板面18上に、約15nmの厚さを有する材料層30を形成し、低いエネルギーおよび高いエネルギーを交互に繰り返すことで、特徴20の外側の基板面18上に、約6nmの厚さを有する追加の材料層を形成する。この実施形態では、材料ターゲットをスパッタリングし、低いエネルギーおよび高いエネルギーを交互に繰り返した後、特徴20の開口幅は約7nm以上である。
1つまたは複数の実施形態の方法に有用な例示的な物理的気相堆積チャンバ50が、図6に示されている。物理的気相堆積チャンバ50は、中心軸54の周りに配置された真空チャンバ52を含み、真空チャンバ52上にはターゲット56が絶縁体58によって支持されており、絶縁体58は、ターゲット56を真空チャンバ52に真空封止し、電気的に接地された真空チャンバ52からターゲット56を電気的に隔離する。真空ポンプシステム(図示せず)が、真空チャンバ52の内部に低ミリトル範囲の圧力まで空気を送り込む。
1つまたは複数の実施形態では、ターゲット56の前面の形状は平面とすることができ、または外周縁が内径部分より厚い略凹面とすることができる。ターゲット56は、真空チャンバ52の内部に面した材料層を含み、この材料層は典型的に、スパッタリング材料源を提供するために堆積される材料以外の元素を5原子%以下しか含有しない。
DC電源60は、プラズマガスをプラズマに励起するために、接地された真空チャンバ52または接地された側壁シールド(図示せず)に対して負のバイアスをターゲットにかける。いくつかの実施形態では、プラズマガスは、ガス源62から質量流量コントローラ64を通って真空チャンバ52内に供給される。
1つまたは複数の実施形態では、プラズマガスは、ヘリウム(He)、ネオン(Ne)、アルゴン(Ar)、クリプトン(Kr)、およびキセノン(Xe)のうちの1つまたは複数を含む。いくつかの実施形態では、プラズマガスは、ヘリウム(He)、ネオン(Ne)、またはアルゴン(Ar)のうちの1つまたは複数を含む。
1つまたは複数の実施形態では、DC電源60によって供給されるターゲット電力は、プラズマ処理ガスをプラズマに励起し、プラズマの正に帯電したイオンが、ターゲット56の方へ加速され、ターゲット56から材料をスパッタリングする。プラズマの密度は、ターゲット56の裏面にマグネトロン66を配置することによって増大され、1つの磁気極性の内側磁極68が、反対の磁気極性の外側磁極70によって取り囲まれている。磁極68、70は、ターゲット56の面に対して平行の磁場を真空チャンバ52内へ投射して電子を閉じ込め、したがってプラズマ密度およびその結果得られるスパッタリング速度を増大させる。スパッタリングの均一性およびターゲットの利用を改善するために、磁極68、70は中心軸54の周りで非対称であるが、中心軸54に沿って延びるシャフト74に接続されたアーム72に支持される。モータ76が、シャフト74、したがってマグネトロン66を中心軸54の周りで回転させて、少なくとも方位均一性を提供する。
真空チャンバ52内のペデスタル80が、ターゲット56からスパッタリングされる材料で被覆されるように、ターゲット56に対向した位置で基板82を支持する。信号ジェネレータ86は、ペデスタル80にバイアスをかけるために、DC電源84および波形ジェネレータ67を含む。ペデスタル80は導電性を有し、したがって電極として作用する。DCバイアスは、真空チャンバ52内にプラズマが存在する状態で、負のDC自己バイアスをペデスタル80上に生じさせ、したがってスパッタリングされた金属イオンが、基板82の方へ加速され、そのようなイオンの軌道が、基板82内に形成された高アスペクト比の孔または特徴の奥深くへ入る。
物理的気相堆積チャンバ50の動作は、コントローラ40によって制御される。コントローラ40は、モータ76、DC電源60、信号ジェネレータ86、または質量流量コントローラ64のうちの1つまたは複数に結合される。いくつかの実施形態では、個々の構成要素に2つ以上のコントローラ40が接続され、1次制御プロセッサが、物理的気相堆積チャンバ50を制御するように、別個のプロセッサの各々に結合される。コントローラ40は、様々なチャンバおよびサブプロセッサを制御するために工業環境で使用することができる任意の形態の汎用コンピュータプロセッサ、マイクロコントローラ、マイクロプロセッサなどのうちの1つとすることができる。
少なくとも1つのコントローラ40は、プロセッサ42、プロセッサ42に結合されたメモリ44、プロセッサ42に結合された入出力デバイス46、および異なる電子構成要素間の通信のための支持回路48を有することができる。メモリ44は、一時的メモリ(たとえば、ランダムアクセスメモリ)および非一時的メモリ(たとえば、ストレージ)のうちの1つまたは複数を含むことができる。
プロセッサのメモリ44またはコンピュータ可読媒体は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスク、または局所もしくは遠隔の任意の他の形態のデジタルストレージなど、容易に利用可能なメモリのうちの1つまたは複数とすることができる。メモリ44は、物理的気相堆積チャンバ50のパラメータおよび構成要素を制御するようにプロセッサ42によって動作可能な命令セットを保持することができる。支持回路48は、プロセッサを従来どおり支持するようにプロセッサ42に結合される。回路は、たとえばキャッシュ、電力供給、クロック回路、入出力回路、サブシステムなどを含むことができる。
概して、プロセスをメモリ内にソフトウェアルーチンとして記憶することができ、そのようなソフトウェアルーチンは、プロセッサによって実行されたとき、本開示のプロセスをプロセスチャンバに実行させる。ソフトウェアルーチンはまた、プロセッサによって制御されているハードウェアから遠隔に位置する第2のプロセッサ(図示せず)によって記憶および/または実行することができる。本開示の方法のいくつかまたはすべてはまた、ハードウェアで実行することができる。したがって、プロセスをソフトウェアで実装し、ハードウェア内のコンピュータシステムを使用して、たとえば特定用途向け集積回路もしくは他のタイプのハードウェア実装として、またはソフトウェアおよびハードウェアの組合せとして実行することができる。ソフトウェアルーチンは、プロセッサによって実行されたとき、汎用コンピュータを、プロセスが実行されるようにチャンバ動作を制御する特定目的コンピュータ(コントローラ)に変換する。
いくつかの実施形態では、コントローラ40は、個々のプロセスまたはサブプロセスを実行して方法を実施するための1つまたは複数の構成を有する。コントローラ40は、中間構成要素に接続することができ、方法の機能を実施するように中間構成要素を動作させるように構成することができる。たとえば、コントローラ40は、ガスバルブ、アクチュエータ、モータ、スリットバルブ、真空制御装置などのうちの1つまたは複数に接続することができ、これらを制御するように構成することができる。
いくつかの実施形態のコントローラ40は、シャフト74を回転させるための構成、ターゲット56にバイアスをかけるための構成、基板82にバイアスをかけるための構成、基板バイアスに波形を加えるための構成、またはプラズマガスの流れを制御するための構成から選択された1つまたは複数の構成を有する。
本明細書全体にわたって、「一実施形態」、「特定の実施形態」、「1つまたは複数の実施形態」、または「実施形態」への参照は、その実施形態に関連して説明する特定の特徴、構造、材料、または特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体にわたって様々な箇所における「1つまたは複数の実施形態では」、「特定の実施形態では」、「一実施形態では」、または「実施形態では」などの語句の記載は、必ずしも本開示の同じ実施形態を参照するわけではない。さらに、1つまたは複数の実施形態では、特定の特徴、構造、材料、または特性を任意の好適な形で組み合わせることができる。
本明細書の開示について、特定の実施形態を参照して説明したが、記載する実施形態は本開示の原理および応用例の単なる例示であることが、当業者には理解されよう。本開示の精神および範囲から逸脱することなく、本開示の方法および装置に様々な変更および変形を加えることができることが、当業者には明らかであろう。したがって、本開示は、添付の特許請求の範囲およびその均等物の範囲内の変更形態および変形形態を含むことができる。

Claims (20)

  1. 物理的気相堆積の方法であって、
    物理的気相堆積(PVD)チャンバ内の材料ターゲットをスパッタリングして、頂面から底面まで深さを延ばす特徴を含む基板面に材料層を形成することであり、前記特徴が、第1の側壁および第2の側壁によって画定された開口幅を前記基板面に有し、前記材料層の前記頂面における横方向厚さが、前記特徴内の前記第1の側壁または前記第2の側壁上の厚さより大きい、形成することと、
    低いエネルギーのDCバイアスで前記基板面にバイアスをかけることによって、前記基板面に追加の材料層を堆積させることと、
    高いエネルギーのDCバイアスで前記基板面にバイアスをかけることによって、前記基板面から前記材料層をエッチングすることと、
    前記低いエネルギーおよび前記高いエネルギーを所定の周波数で交互に繰り返して、前記基板面における前記横方向厚さと前記特徴内の前記横方向厚さとの間の差を低減させることとを含む、方法。
  2. 前記基板が実質的に損傷されない、請求項1に記載の方法。
  3. 前記DCバイアスのデューティサイクルが約50%である、請求項2に記載の方法。
  4. 前記材料ターゲットが銅を含む、請求項1に記載の方法。
  5. 前記低いエネルギーが約50W~約100Wの範囲内である、請求項1に記載の方法。
  6. 前記高いエネルギーが約1000W~約3000Wの範囲内である、請求項1に記載の方法。
  7. 前記所定の周波数が約1Hz~約10kHzの範囲内である、請求項1に記載の方法。
  8. 前記材料ターゲットをスパッタリングすることが、約15nmの厚さを有する材料層を前記基板面に形成する、請求項1に記載の方法。
  9. 前記低いエネルギーおよび前記高いエネルギーを交互に繰り返すことが、約6nmの厚さを有する材料層を前記基板面に形成する、請求項1に記載の方法。
  10. 前記材料ターゲットをスパッタリングする前に、前記特徴の前記開口幅が約10nm~約20nmの範囲内である、請求項1に記載の方法。
  11. 前記材料ターゲットをスパッタリングする前に、前記特徴の前記開口幅が約10nm~約20nmの範囲内であり、前記材料ターゲットをスパッタリングする結果、約15nmの厚さを有する材料層が前記基板面に形成され、前記低いエネルギーおよび前記高いエネルギーを交互に繰り返すことで、約6nmの厚さを有する材料層を前記基板面に形成し、前記材料ターゲットをスパッタリングし、前記低いエネルギーおよび前記高いエネルギーを交互に繰り返した後、前記特徴の前記開口幅が約7nm以上である、請求項1に記載の方法。
  12. 前記基板面における前記横方向厚さと前記特徴内の前記横方向厚さとの間の前記差を低減させた後、前記特徴内に導電性充填材料を堆積させることをさらに含む、請求項1に記載の方法。
  13. オーバーハング低減の方法であって、
    材料ターゲットを有する物理的気相堆積(PVD)チャンバ内のDCバイアスで材料層を含む基板にバイアスをかけることであり、前記基板が、基板面から底面まで深さを延ばす特徴を含み、前記特徴が、第1の側壁および第2の側壁によって画定された開口幅を前記基板面に有し、前記材料層の前記基板面における横方向厚さが、前記特徴内の横方向厚さより大きい、バイアスをかけることと、
    低エネルギーのバイアスおよび高エネルギーのバイアスを所定の周波数で交互に繰り返して、前記基板面における前記横方向厚さと前記特徴内の前記横方向厚さとの間の差を低減させることとを含む、方法。
  14. 前記基板にバイアスをかけ、低エネルギーのバイアスおよび高エネルギーのバイアスを交互にすることによって、前記基板が実質的に損傷されない、請求項13に記載の方法。
  15. 前記DCバイアスのデューティサイクルが約50%である、請求項14に記載の方法。
  16. 前記材料層が銅を含む、請求項13に記載の方法。
  17. 前記低エネルギーのバイアスが約50W~約100Wの範囲内である、請求項13に記載の方法。
  18. 前記高エネルギーのバイアスが約1000W~約3000Wの範囲内である、請求項13に記載の方法。
  19. 前記所定の周波数が約1Hz~約10kHzの範囲内である、請求項13に記載の方法。
  20. 銅ライナを堆積させる方法であって、
    物理的気相堆積(PVD)チャンバ内の銅ターゲットをスパッタリングして、基板面から底面まで深さを延ばす特徴を含む前記基板面に銅層を形成することであり、前記特徴が、第1の側壁および第2の側壁によって画定された開口幅を前記基板面に有し、前記銅層の前記基板面における横方向厚さが、前記特徴内の横方向厚さより大きい、形成することと、
    約50W~約100Wの範囲内の低いエネルギーのDCバイアスで前記基板面にバイアスをかけることによって、前記基板面に追加の銅層を堆積させることと、
    約1000W~約1500Wの範囲内の高いエネルギーのDCバイアスで前記基板面にバイアスをかけることによって、前記基板面から前記銅層をエッチングすることと、
    前記低いエネルギーおよび前記高いエネルギーを約1kHzの所定の周波数で交互に繰り返して、前記基板面における前記横方向厚さと前記特徴内の前記横方向厚さとの間の差を低減させることとを含む、方法。
JP2022542969A 2020-06-16 2021-06-16 パルスバイアスを使用したオーバーハングの低減 Pending JP2023516865A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/902,918 US20210391176A1 (en) 2020-06-16 2020-06-16 Overhang reduction using pulsed bias
US16/902,918 2020-06-16
PCT/US2021/037572 WO2021257666A1 (en) 2020-06-16 2021-06-16 Overhang reduction using pulsed bias

Publications (1)

Publication Number Publication Date
JP2023516865A true JP2023516865A (ja) 2023-04-21

Family

ID=78825891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022542969A Pending JP2023516865A (ja) 2020-06-16 2021-06-16 パルスバイアスを使用したオーバーハングの低減

Country Status (6)

Country Link
US (1) US20210391176A1 (ja)
JP (1) JP2023516865A (ja)
KR (1) KR20220116251A (ja)
CN (1) CN115038809A (ja)
TW (2) TWI814015B (ja)
WO (1) WO2021257666A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138456A (ja) * 1987-06-30 1990-05-28 Hitachi Ltd スパツタリング方法と装置および応用製品
JP2004526868A (ja) * 2001-05-04 2004-09-02 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd
WO2011010653A1 (ja) * 2009-07-21 2011-01-27 株式会社アルバック 被膜表面処理方法、及び被膜表面処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US7247252B2 (en) * 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US7202172B2 (en) * 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US9194045B2 (en) * 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US11162170B2 (en) * 2014-02-06 2021-11-02 Applied Materials, Inc. Methods for reducing material overhang in a feature of a substrate
US9978639B2 (en) * 2015-10-27 2018-05-22 Applied Materials, Inc. Methods for reducing copper overhang in a feature of a substrate
US10312065B2 (en) * 2016-07-20 2019-06-04 Applied Materials, Inc. Physical vapor deposition (PVD) plasma energy control per dynamic magnetron control
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138456A (ja) * 1987-06-30 1990-05-28 Hitachi Ltd スパツタリング方法と装置および応用製品
JP2004526868A (ja) * 2001-05-04 2004-09-02 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd
WO2011010653A1 (ja) * 2009-07-21 2011-01-27 株式会社アルバック 被膜表面処理方法、及び被膜表面処理装置

Also Published As

Publication number Publication date
US20210391176A1 (en) 2021-12-16
TW202214895A (zh) 2022-04-16
TWI814015B (zh) 2023-09-01
TW202347459A (zh) 2023-12-01
WO2021257666A1 (en) 2021-12-23
CN115038809A (zh) 2022-09-09
KR20220116251A (ko) 2022-08-22
TWI827525B (zh) 2023-12-21

Similar Documents

Publication Publication Date Title
KR102512580B1 (ko) 플라즈마 에칭 방법
US6709553B2 (en) Multiple-step sputter deposition
CN101213642B (zh) 金属膜的薄膜沉积方法和薄膜沉积装置
TWI714553B (zh) 透過靶壽命控制一或多個薄膜性質的自動電容調節器電流補償
JP2008205459A (ja) 再スパッタされる銅シード層
KR20130093612A (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
TW202016333A (zh) 具改善底部覆蓋率之鎢膜的高功率脈衝磁控管濺射物理氣相沉積
TW200824041A (en) Method and apparatus of forming film, and recording medium
KR20140001203A (ko) 고 종횡비 피쳐들 내에 금속을 증착하기 위한 방법들
US8431033B2 (en) High density plasma etchback process for advanced metallization applications
KR102458996B1 (ko) 에칭 방법
JP2001240963A (ja) 重ガススパッタリングによるイオン化金属プラズマ技術
JP5878091B2 (ja) エッチング方法
TWI814015B (zh) 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法
JP2005097672A (ja) マルチカソードイオン化物理的気相成膜装置
JP2024050576A (ja) 改良されたプラズマ制御のためのem源
US20230113961A1 (en) Overhang reduction using pulsed bias
EP4370725A1 (en) Methods and apparatus for processing a substrate
US20220285129A1 (en) Pulsed DC Power For Deposition Of Film
WO2002009149A2 (en) Post deposition sputtering
JP2008243918A (ja) ドライエッチング方法
JP2004131839A (ja) パルス化された電力によるスパッタリング堆積
JP5069255B2 (ja) スパッタリング装置及びスパッタリング方法
KR20180041766A (ko) 텅스텐 실리사이드 질화물 막들 및 형성 방법들
KR20000015507A (ko) 하전 입자 제어 수단을 구비한 스퍼터링 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230926

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240528