TWI827525B - 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法 - Google Patents

物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法 Download PDF

Info

Publication number
TWI827525B
TWI827525B TW112128809A TW112128809A TWI827525B TW I827525 B TWI827525 B TW I827525B TW 112128809 A TW112128809 A TW 112128809A TW 112128809 A TW112128809 A TW 112128809A TW I827525 B TWI827525 B TW I827525B
Authority
TW
Taiwan
Prior art keywords
substrate
substrate surface
feature
layer
bias
Prior art date
Application number
TW112128809A
Other languages
English (en)
Other versions
TW202347459A (zh
Inventor
班徹奇 梅寶其
寇馬爾S 嘉爾德
奇索 卡拉瑟帕蘭比爾
李正周
先敏 唐
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202347459A publication Critical patent/TW202347459A/zh
Application granted granted Critical
Publication of TWI827525B publication Critical patent/TWI827525B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本揭露書的實施例關於藉由減少沉積膜的懸伸來擴大基板特徵的開口寬度的方法。本揭露書的一些實施例利用高能量偏壓脈衝來蝕刻基板特徵的開口附近的沉積膜。本揭露書的一些實施例在不損壞下面的基板的情況下蝕刻沉積膜。

Description

物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法
本揭露書的實施例大體上關於物理氣相沉積的方法。特別地,本揭露書的實施例關於用於減少沉積在特徵內的PVD膜的懸伸和改善開口寬度的方法。
半導體電路元件的小型化已經達到了以商業規模製造45nm、32nm、28nm、20nm及甚至更小的特徵尺寸的程度。隨著大小不斷變小,類似填充在電路元件之間的間隙的處理步驟出現了新的挑戰。隨著在元件之間的寬度不斷縮小,在它們之間的間隙通常變得更高且更窄,從而使得間隙難以在間隙填充材料不產生空隙和弱接縫的情況下填充。
濺射(也稱為物理氣相沉積(PVD))用於在半導體積體電路的製造中沉積金屬和其他材料。濺射的使用已擴展到將材料層沉積到高深寬比的孔或間隙(諸如通孔或其他垂直互連結構)的側壁上。
PVD技術經常在其完全填充之前在間隙的頂部處經歷材料的過度生長或懸伸。這種懸伸會在已被懸伸切斷的沉積材料的間隙中產生空隙或接縫;有時被稱為麵包屑(breadloafing)的問題。
減少懸伸的當前方法利用施加到基板的連續波(CW)偏壓。但是這些方法的功率範圍有限。此外,在高功率水平下操作時,CW偏壓可能會損壞下面的基板。
因此,存在有用於防止或消除在基板特徵或間隙的頂部形成懸伸而不損壞下面的基板的物理氣相沉積的方法的需求。
本揭露書的一個或多個實施例涉及一種物理氣相沉積方法。方法包含以下步驟:在物理氣相沉積(PVD)腔室中濺射材料靶材,以在基板表面上形成材料層,基板表面包含從頂表面到底表面延伸一深度的特徵。特徵在由第一側壁和第二側壁界定的基板表面處具有開口寬度。材料層在頂表面處的橫向厚度大於特徵內的第一側壁或第二側壁上的厚度。藉由用在低能量下的DC偏壓來偏壓基板表面,將額外材料層沉積在基板表面上。藉由用在高能量下的DC偏壓來偏壓基板表面,從基板表面蝕刻材料層。在預定頻率下在低能量和高能量之間重複交替,以減小在基板表面處的橫向厚度與特徵內的橫向厚度之間的差異。
本揭露書的另外的實施例涉及一種懸伸減少的方法。方法包含以下步驟:在具有材料靶材的物理氣相沉積(PVD)腔室內用DC偏壓來偏壓包含材料層的基板。基板包含從基板表面到底表面延伸一深度的特徵。特徵在由第一側壁和第二側壁界定的基板表面處具有開口寬度。材料層在基板表面處具有比在特徵內更大的橫向厚度。在預定頻率下在低能量偏壓和高能量偏壓之間重複交替,以減小在基板表面處的橫向厚度與特徵內的橫向厚度之間的差異。
本揭露書的進一步實施例涉及一種沉積銅襯墊的方法。方法包含以下步驟:在物理氣相沉積(PVD)腔室中濺射銅靶材,以在基板表面上形成銅層,基板表面包含從基板表面到底表面延伸一深度的特徵。特徵在由第一側壁和第二側壁界定的基板表面處具有開口寬度。銅層在基板表面處具有比在特徵內更大的橫向厚度。藉由在約50W至約100W的範圍中的低能量下用DC偏壓來偏壓基板表面,在基板表面上沉積額外的銅層。藉由在約1000W至約1500W的範圍中的高能量下用DC偏壓來偏壓基板表面,從基板表面蝕刻銅層。在約1kHz的預定頻率下在低能量和高能量之間重複交替,以減少在基板表面處的橫向厚度和特徵內的橫向厚度之間的差異。
在描述本揭露書的幾個示例性實施例之前,應當理解,本揭露書不限於以下描述中闡述的構造或處理步驟的細節。本揭露書能夠有其他實施例並且能夠以各種方式實施或執行。
如本說明書和附隨的申請專利範圍中所使用的,術語「基板」是指處理作用於其上的表面或表面的一部分。熟悉本領域者也將理解,提及基板也可僅指基板的一部分,除非上下文另有明確指示。此外,提及沉積在基板上可指裸基板和其上沉積或形成有一個或多個膜或特徵的基板。
如於此所使用的,「基板」是指在製造處理期間在其上執行膜處理的任何基板或形成在基板上的材料表面。例如,可在其上執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,以及諸如金屬、金屬氮化物、金屬合金和其他導電材料的任何其他材料,具體取決於應用。基板包括(但不限於)半導體晶圓。基板可曝露於預處置處理以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理之外,在本揭露書中,所揭露的任何膜處理步驟也可在如下文更詳細揭露的基板上形成的底層上執行,並且術語「基板表面」旨在包括上下文所指的這種底層。因此,例如,當膜/層或部分膜/層已沉積到基板表面上時,新沉積的膜/層的曝露表面成為基板表面。
本揭露書的一個或多個實施例涉及用於減少由物理氣相沉積形成的懸伸的方法。本揭露書的一些實施例有利地提供了移除懸伸而不損壞下面的基板的沉積-蝕刻循環。本揭露書的一些實施例藉由提供更大的特徵開口而有利地促進後續的金屬化。
根據一個或多個實施例的用於處理的示例性基板82顯示在第1圖中。在一些實施例中,基板82包含具有曝露表面的基底材料15,也稱為基板表面18。基板表面18包含從頂部22到底部表面26延伸一深度D的特徵20。特徵具有由第一側壁24和第二側壁25界定的開口寬度W O。在一些實施例中,第一側壁24和第二側壁25是連續側壁(如,圓形通孔)的相對面。
在一些實施例中,開口寬度W O在約8nm至約25nm的範圍中或在約10nm至約20nm的範圍中。在一些實施例中,開口寬度W O為約10nm、約14nm、約16nm、約20nm或約22nm。
在一些實施例中,基底材料15包括介電質。在一些實施例中,基底材料15包含氮化矽、氮氧化矽、氮碳化矽、氧化矽或碳氧化矽的一種或多種。在一些實施例中,基底材料15基本上由氧化矽組成。如在這方面所使用的,基本上由所宣稱材料組成的材料包含以莫耳為基礎的大於或等於約95%、大於或等於約98%、大於或等於約99%或大於或等於約99.5%的所宣稱材料。
參照第2和3圖,用於處理基板82的示例性方法100開始於任選操作110,其中物理氣相沉積(PVD)腔室中的材料靶材被濺射,以在基板表面18上形成具有懸伸40的材料層30。材料層30在特徵20的頂部22處具有比特徵20內的側壁24上的厚度T S更大的橫向厚度T 1。在T 1和T S之間的差異被稱為懸伸40。隨著材料層30沉積在特徵上,在特徵的頂部處的特徵的開口W O小於在側壁24、25之間的特徵的寬度。
在一些實施例中,濺射處理在特徵20的外側的基板表面18上形成厚度在約10nm到約20nm或在約12nm到約18nm的範圍中的材料層30。在一些實施例中,濺射處理在特徵20的外側的基板表面18上形成厚度為約15nm的材料層30。
材料靶材和材料層30包含相同的材料。在一些實施例中,材料包含導體。在一些實施例中,材料包含銅、鎢、鈷、釕、鉬、銦、銥或銠的一種或多種。在一些實施例中,材料包含介電質。在一些實施例中,材料包含氮化鈦、氮化鉭、氮化釕、氮化鋁、氧化矽、氧化鋁或氮氧化鋁的一種或多種。
方法100繼續藉由沉積-蝕刻循環(也稱為沉積蝕刻循環120)來減少懸伸40。沉積蝕刻循環120包含一個沉積階段122和一個蝕刻階段124。雖然沉積階段顯示在第2和4圖中,以在蝕刻階段124之前,熟悉本領域者將理解這個順序不是限制性的並且可在任何沉積蝕刻循環120期間首先執行任一階段。沉積蝕刻循環120可開始於沉積階段122或蝕刻階段124任一者。
沉積階段122藉由用在低能量下的DC偏壓來偏壓基板表面18而在基板表面18上沉積額外材料層30。在一些實施例中,低能量在約10W至約100W的範圍中、在約20W至約100W的範圍中、在約50W至約100W的範圍中或在約50W至約75W的範圍中。在一些實施例中,低能量為約70W。
蝕刻階段124藉由用在高能量下的DC偏壓來偏壓基板表面18而從基板表面18蝕刻材料層30。在一些實施例中,高能量在約200W至約3000W的範圍中、在約500W至約2500W的範圍中或在約1000W至約2000W的範圍中。在一些實施例中,高能量為約1400W。
不受理論的束縛,據信高能量偏壓不能施加到基板表面18延長的時間段。若高能量偏壓施加的時間過長,基底材料15可能會被偏壓損壞,或者能量可能會從基板與處理腔室的其他部分起電弧。因此,發明人驚奇地發現,藉由使用高能量和低能量偏壓的短脈衝串,可蝕刻材料層30而不會損壞下面的基底材料15。在一些實施例中,基板基本上未損壞。在物理層損壞(分層、黏附)的情況下,可對基板造成的損壞進行物理評估;藉由TEM評估結構損壞;藉由EELS分析評估化學損壞;或藉由電氣分析評估整合損壞。
在沉積蝕刻循環120期間,沉積階段122和蝕刻階段124以交替方式重複。在一些實施例中,在沉積階段122和蝕刻階段124之間的時間被最小化。第4圖顯示了在沉積蝕刻循環120期間偏壓功率隨時間變化的波形200。沉積階段122顯示在低能量下的具有週期t D的區域210中。蝕刻階段124顯示在高能量下的具有週期t E的區域220中。
第4圖所示的波形200不同於連續波(CW)波形。在CW波形中,偏壓能量逐漸增加和減少,以形成在高能量和低能量下具有波峰和波谷的正弦波。發明人已經發現,CW型偏壓波形具有低得多的高能量偏壓,可在不損壞基底材料15的情況下施加到基板表面18。相比之下,本發明的波形200從區域210中的低能量偏壓快速轉變到區域220中的高能量偏壓。
控制偏壓功率的頻率。在一些實施例中,頻率在約1Hz至約10kHz的範圍中或在約100Hz至約5kHz的範圍中。在一些實施例中,頻率為約1kHz。
佔空比是將高能量偏壓施加到基板表面所花費的循環的時間百分比。在一些實施例中,佔空比在約5%至約95%的範圍中、在約10%至約90%的範圍中、在約20%至約80%的範圍中、在約30%至約70%的範圍中,在約40%至約60%的範圍中或在約45%至約55%的範圍中。在一些實施例中,佔空比為約50%。
重複沉積蝕刻循環120,直到已經移除足夠厚度的懸伸40。如第5圖所示,在複數次沉積蝕刻循環之後,基板82具有帶減少懸伸40的材料層30。換言之,在特徵20的頂部22處減少的橫向厚度T 2與特徵20內的側壁24上的厚度T S之間的差異被減少。在一些實施例中,特徵內的厚度T S在沉積蝕刻循環120中基本保持不變。在一些實施例中,特徵內的厚度T S藉由沉積蝕刻循環120而增加。
在一些實施例中,沉積蝕刻循環120在特徵20的外側的基板表面18上沉積額外材料層。在一些實施例中,沉積蝕刻循環120在特徵的外側的基板表面18上沉積大於或等於約2nm、大於或等於約4nm,大於或等於約6nm或大於或等於約8nm。在一些實施例中,沉積蝕刻循環120在特徵20的外側的基板表面18上沉積約6nm的材料層。
在決定點130處,決定特徵20的開口寬度W O是否足夠。若開口寬度W O不夠,則方法100返回以執行額外的沉積蝕刻循環120。若開口寬度W O足夠,則基板可在操作140處進行進一步處理。
在一些實施例中,操作140處的進一步處理包含在特徵20內沉積導電填充材料。在一些實施例中,導電填充材料包含與材料層30不同的材料。在一些實施例中,導電填充材料包含金屬或金屬合金。在一些實施例中,導電填充材料包含銅、鎢、鈷、釕、鉬、銦、銥或銠的一種或多種。
在一些實施例中,在濺射材料靶材之前,特徵20的開口寬度在約10nm到約20nm的範圍中,濺射材料靶材在特徵20的外側的基板表面18上形成具有約15nm的厚度的材料層30,且在低能量和高能量之間重複交替在特徵20的外側的基板表面18上形成厚度為約6nm的額外材料層。在這個實施例中,在濺射材料靶材並在低能量和高能量之間重複交替之後,特徵20的開口寬度大於或等於約7nm。
可用於一個或多個實施例的方法的示例性物理氣相沉積腔室50顯示在第6圖中。物理氣相沉積腔室50包括圍繞中心軸線54佈置的真空腔室52,靶材56通過隔離器58支撐在中心軸線54上,隔離器58將靶材56真空密封到真空腔室52並且將靶材56與電接地的真空腔室52電隔離。真空泵系統(未顯示)將真空腔室52的內部抽至低毫托範圍中的壓力。
在一個或多個實施例中,靶材56的前表面的形狀可為平面的或具有比內徑部分更厚的外周邊緣的大體上凹形。靶材56包括面向真空腔室52的內部的材料層,並且靶材56通常含有不超過5原子%的除了待沉積的材料之外的元素,以提供濺射材料的來源。
DC功率源60相對於接地的真空腔室52或接地的側壁屏蔽件(未顯示)對靶材施加負偏壓,以將電漿氣體激發成電漿。在一些實施例中,電漿氣體從氣體源62通過質流控制器64供應到真空腔室52中。
在一個或多個實施例中,電漿氣體包含氦(He)、氖(Ne)、氬(Ar)、氪(Kr)和氙(Xe)的一種或多種。在一些實施例中,電漿氣體包含氦(He)、氖(Ne)或氬(Ar)的一種或多種。
在一個或多個實施例中,由DC功率源60供應的靶材功率將電漿處理氣體激發成電漿,並且電漿的帶正電荷的離子朝著靶材56加速並從靶材56濺射材料。藉由將磁控管66放置在靶材56的後面來增加電漿的密度,磁控管66具有一個磁極的內磁極68被具有相反磁極的外磁極70包圍。磁極68、70平行於靶材56的面將磁場投射到真空腔室52中以捕獲電子並因此增加電漿密度和所得濺射速率。為了改善濺射均勻性和靶材利用率,磁極68、70繞中心軸線54是不對稱的,但磁極68、70支撐在臂72上,臂72連接到沿中心軸線54延伸的軸74。馬達76旋轉軸74,並因此磁控管66繞中心軸線54以至少提供方位均勻性。
真空腔室52內的基座80支撐基板82與靶材56相對,以用從靶材56濺射的材料塗佈。信號發生器86包括DC功率源84和波形發生器67以偏壓基座80。基座80是導電的,使得它用作電極。真空腔室52內存在電漿時的DC偏壓導致在基座80上產生負DC自偏壓,使得濺射的金屬離子朝著基板82加速,並且它們的軌跡進入在基板82中形成的任何高深寬比孔或特徵內的深處。
物理氣相沉積腔室50的操作由控制器41控制。控制器41耦合到馬達76、DC功率源60、信號發生器86或質流控制器64的一個或多個。在一些實施例中,有一個以上的控制器41連接到單獨的部件並且主控制處理器耦合到單獨的處理器的每一個以控制物理氣相沉積腔室50。控制器41可為任何形式的通用計算機處理器、微控制器、微處理器等的一種,其可用於工業環境以控制各種腔室和子處理器。
至少一個控制器41可具有處理器42、耦合到處理器42的記憶體44、耦合到處理器42的輸入/輸出裝置46以及用於在不同電子部件之間的通信的支持電路48。記憶體44可包括暫時性記憶體(如,隨機存取記憶體)和非暫時性記憶體(如,儲存器)的一種或多種。
處理器的記憶體44(或計算機可讀媒體)可為本地或遠端的容易獲得的記憶體的一種或多種,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位儲存器。記憶體44可保持可由處理器42操作以控制物理氣相沉積腔室50的參數和部件的指令集。支持電路48耦合到處理器42以用於以常規方式支持處理器。電路可包括(例如)快取、功率供應器、時脈電路、輸入/輸出電路、子系統及類似者。
處理通常可作為軟體例程儲存在記憶體中,當由處理器執行時,軟體例程使處理腔室執行本揭露書的處理。軟體例程還可由遠離由處理器控制的硬體的第二處理器(未顯示)儲存及/或執行。本揭露書的部分或全部方法也可在硬體中執行。因此,處理可以軟體實現並使用計算機系統、以硬體(如,特殊應用積體電路或其他類型的硬體實現)或以軟體和硬體的結合來執行。當由處理器執行時,軟體例程將通用計算機轉換為控制腔室操作的專用計算機(控制器),從而執行處理。
在一些實施例中,控制器41具有一種或多種配置來執行單獨的處理或子處理以執行方法。控制器41可連接到並被配置為操作中間部件以執行方法的功能。例如,控制器41可連接到並配置為控制氣閥、致動器、馬達、狹縫閥、真空控制等的一個或多個。
一些實施例的控制器41具有選自以下的一種或多種配置:用以旋轉軸74的配置;用以偏壓靶材56的配置;用以偏壓基板82的配置;用以將波形施加到基板偏壓的配置;或用以控制電漿氣體的流動的配置。
在這份說明書中,對「一個實施例」、「某些實施例」、「一個或多個實施例」或「一實施例」的引用意味著結合實施例描述的特定特徵、結構、材料或特性包括在本揭露書的至少一個實施例中。因此,諸如「在一個或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」之類的短語在貫穿這份說明書的各個地方的出現不一定是指本揭露書的相同實施例。此外,特定特徵、結構、材料或特性可在一個或多個實施例中以任何合適的方式結合。
儘管已經參考特定實施例描述了於此的揭露書,但是熟悉本領域者將理解所描述的實施例僅是對本揭露書的原理和應用的說明。對熟悉本領域者顯而易見的是,在不背離本揭露書的精神和範圍的情況下,可對本揭露書的方法和設備作出各種修改和變化。因此,本揭露書可包括在附隨的申請專利範圍及其等效元件的範圍內的修改和變化。
15:基底材料 18:基板表面 20:特徵 22:頂部 24:側壁 25:側壁 26:底部表面 30:材料層 40:懸伸 41:控制器 42:處理器 44:記憶體 46:輸入/輸出裝置 48:支持電路 50:物理氣相沉積腔室 52:真空腔室 54:中心軸線 56:靶材 58:隔離器 60:DC功率源 62:氣體源 64:質流控制器 66:磁控管 67:波形發生器 68:磁極 70:磁極 72:臂 74:軸 76:馬達 80:基座 82:基板 84:DC功率源 86:信號發生器 100:方法 110:任選操作 120:沉積蝕刻循環 122:沉積階段 124:蝕刻階段 130:決定點 140:操作 210:區域 220:區域
為了能夠詳細地理解本揭露書的上述特徵的方式,可藉由參考實施例而獲得上面簡要概述的本揭露書的更具體描述,其中一些實施例顯示在附隨的圖式中。然而,要注意的是,附隨的圖式僅顯示了本揭露書的典型實施例,且因此不應被認為是對其範圍的限制,因為本揭露書可允許其他等效的實施例。
第1圖顯示了根據本揭露書的一個或多個實施例的具有特徵的示例性基板的橫截面圖;
第2圖顯示了根據本揭露書的一個或多個實施例的處理方法的示例性流程圖;
第3圖顯示了根據本揭露書的一個或多個實施例的在其上具有材料層的示例性基板的橫截面圖,材料層具有懸伸;
第4圖顯示根據本揭露書的一或多個實施例的施加於基板的DC偏壓的波形圖;
第5圖顯示了根據本揭露書的一個或多個實施例的在其上具有材料層的示例性基板的橫截面圖,材料層具有減少的懸伸;及
第6圖顯示了根據本揭露書的一個或多個實施例的物理氣相沉積(PVD)腔室的示意性橫截面圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
15:基底材料 18:基板表面 20:特徵 22:頂部 30:材料層 40:懸伸 41:控制器 82:基板

Claims (16)

  1. 一種物理氣相沉積方法,該方法包含以下步驟: 在一物理氣相沉積(PVD)腔室中濺射一材料靶材,以在一基板表面上形成一材料層,該基板表面包含從一頂表面到一底表面延伸一深度的一特徵,該特徵在該基板表面處具有由一第一側壁和一第二側壁界定的一開口寬度,該材料層在該頂表面處的一橫向厚度大於該特徵內的該第一側壁或該第二側壁上的一厚度; 藉由用在一低能量下的一偏壓來偏壓該基板表面,將額外材料層沉積在該基板表面上,該低能量在約50W至約100W的一範圍中; 藉由用在一高能量下的一偏壓來偏壓該基板表面,從該基板表面蝕刻該材料層,該高能量在約1000W至約3000W的一範圍中;及 在一預定頻率下在該低能量和該高能量之間重複交替,以減小在該基板表面處的該橫向厚度與該特徵內的該橫向厚度之間的一差異。
  2. 如請求項1所述之方法,其中該基板基本上未損壞。
  3. 如請求項2所述之方法,其中該偏壓的一佔空比為約50%。
  4. 如請求項1所述之方法,其中該材料靶材包含銅。
  5. 如請求項1所述之方法,其中該預定頻率在約1Hz至約10kHz的一範圍中。
  6. 如請求項1所述之方法,其中濺射該材料靶材在該基板表面上形成厚度為約15nm的該材料層。
  7. 如請求項1所述之方法,其中在該低能量和該高能量之間重複交替在該基板表面上形成厚度為約6nm的該材料層。
  8. 如請求項1所述之方法,其中在濺射該材料靶材之前,該特徵的該開口寬度在約10nm至約20nm的一範圍中。
  9. 如請求項1所述之方法,其中在濺射該材料靶材之前,該特徵的該開口寬度在約10nm至約20nm的一範圍中,濺射該材料靶材導致在該基板表面上具有厚度約15nm的該材料層,在該低能量和該高能量之間重複交替在該基板表面形成額外厚度約6nm的該材料層,以及在濺射該材料靶材並在該低能量和該高能量之間重複交替之後,該特徵的該開口寬度大於或等於約7nm。
  10. 如請求項1所述之方法,進一步包含以下步驟:在減小該基板表面處的該橫向厚度與該特徵內的該橫向厚度之間的該差異之後,在該特徵內沉積一導電填充材料。
  11. 一種懸伸減少的方法,該方法包含以下步驟: 在具有一材料靶材的一物理氣相沉積(PVD)腔室內用一偏壓來偏壓包含一材料層的一基板,該基板包含從該基板表面到一底表面延伸一深度的一特徵,該特徵在該基板表面處具有由一第一側壁和一第二側壁界定的一開口寬度,該材料層在該基板表面處具有比在該特徵內更大的一橫向厚度;及 在一預定頻率下在一低能量偏壓和一高能量偏壓之間重複交替,以減小在該基板表面處的該橫向厚度與該特徵內的該橫向厚度之間的一差異,該低能量偏壓在約50W至約100W的一範圍中,該高能量偏壓在約1000W至約3000W的一範圍中。
  12. 如請求項11所述之方法,其中藉由偏壓該基板並在一低能量偏壓和一高能量偏壓之間交替,該基板基本上未損壞。
  13. 如請求項12所述之方法,其中該偏壓的一佔空比為約50%。
  14. 如請求項11所述之方法,其中該材料層包含銅。
  15. 如請求項11所述之方法,其中該預定頻率在約1Hz至約10kHz的一範圍中。
  16. 一種沉積一銅襯墊的方法,該方法包含以下步驟: 在一物理氣相沉積(PVD)腔室中濺射一銅靶材,以在一基板表面上形成一銅層,該基板表面包含從該基板表面到一底表面延伸一深度的一特徵,該特徵在該基板表面處具有由一第一側壁和一第二側壁界定的一開口寬度,該銅層在該基板表面處具有比在該特徵內更大的一橫向厚度; 藉由在約50W至約100W的一範圍中的一低能量下用一偏壓來偏壓該基板表面,在該基板表面上沉積額外的銅層; 藉由在約1000W至約1500W的一範圍中的一高能量下用一偏壓來偏壓該基板表面,從該基板表面蝕刻該銅層;及 在約1kHz的一預定頻率下在該低能量和該高能量之間重複交替,以減少在該基板表面處的該橫向厚度和該特徵內的該橫向厚度之間的一差異。
TW112128809A 2020-06-16 2021-06-10 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法 TWI827525B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/902,918 US20210391176A1 (en) 2020-06-16 2020-06-16 Overhang reduction using pulsed bias
US16/902,918 2020-06-16

Publications (2)

Publication Number Publication Date
TW202347459A TW202347459A (zh) 2023-12-01
TWI827525B true TWI827525B (zh) 2023-12-21

Family

ID=78825891

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112128809A TWI827525B (zh) 2020-06-16 2021-06-10 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法
TW110121169A TWI814015B (zh) 2020-06-16 2021-06-10 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110121169A TWI814015B (zh) 2020-06-16 2021-06-10 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法

Country Status (6)

Country Link
US (1) US20210391176A1 (zh)
JP (1) JP2023516865A (zh)
KR (1) KR20220116251A (zh)
CN (1) CN115038809A (zh)
TW (2) TWI827525B (zh)
WO (1) WO2021257666A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138456A (ja) * 1987-06-30 1990-05-28 Hitachi Ltd スパツタリング方法と装置および応用製品
US20020068464A1 (en) * 1999-12-03 2002-06-06 Applied Materials, Inc. Pulsed-mode RF bias for side-wall coverage improvement
US20030034244A1 (en) * 2001-05-04 2003-02-20 Tugrul Yasar Ionized PVD with sequential deposition and etching
US20030235994A1 (en) * 2002-06-20 2003-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
TW201404927A (zh) * 2012-04-03 2014-02-01 Novellus Systems Inc 連續電漿及射頻偏壓以管理基板處理系統中之損壞
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US7202172B2 (en) * 2003-12-05 2007-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Microelectronic device having disposable spacer
TWI435386B (zh) * 2009-07-21 2014-04-21 Ulvac Inc 被膜表面處理方法
US8846451B2 (en) * 2010-07-30 2014-09-30 Applied Materials, Inc. Methods for depositing metal in high aspect ratio features
US11162170B2 (en) * 2014-02-06 2021-11-02 Applied Materials, Inc. Methods for reducing material overhang in a feature of a substrate
US9978639B2 (en) * 2015-10-27 2018-05-22 Applied Materials, Inc. Methods for reducing copper overhang in a feature of a substrate
US10312065B2 (en) * 2016-07-20 2019-06-04 Applied Materials, Inc. Physical vapor deposition (PVD) plasma energy control per dynamic magnetron control
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02138456A (ja) * 1987-06-30 1990-05-28 Hitachi Ltd スパツタリング方法と装置および応用製品
US20020068464A1 (en) * 1999-12-03 2002-06-06 Applied Materials, Inc. Pulsed-mode RF bias for side-wall coverage improvement
US20030034244A1 (en) * 2001-05-04 2003-02-20 Tugrul Yasar Ionized PVD with sequential deposition and etching
JP2004526868A (ja) * 2001-05-04 2004-09-02 東京エレクトロン株式会社 シーケンシャルな堆積及びエッチングを備えたイオン化pvd
US20030235994A1 (en) * 2002-06-20 2003-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
TW201404927A (zh) * 2012-04-03 2014-02-01 Novellus Systems Inc 連續電漿及射頻偏壓以管理基板處理系統中之損壞
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization

Also Published As

Publication number Publication date
CN115038809A (zh) 2022-09-09
WO2021257666A1 (en) 2021-12-23
TW202214895A (zh) 2022-04-16
US20210391176A1 (en) 2021-12-16
TWI814015B (zh) 2023-09-01
KR20220116251A (ko) 2022-08-22
TW202347459A (zh) 2023-12-01
JP2023516865A (ja) 2023-04-21

Similar Documents

Publication Publication Date Title
US6709553B2 (en) Multiple-step sputter deposition
JP4179642B2 (ja) 金属層の形成方法及び形成装置
TWI569310B (zh) 用以於基材上形成層之方法
TWI714553B (zh) 透過靶壽命控制一或多個薄膜性質的自動電容調節器電流補償
KR20130093612A (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
JP2007043038A (ja) 金属膜の成膜方法、成膜装置及び記憶媒体
TWI491748B (zh) 用於在高深寬比的特徵結構中沉積金屬的方法
US20060014378A1 (en) System and method to form improved seed layer
TW202016333A (zh) 具改善底部覆蓋率之鎢膜的高功率脈衝磁控管濺射物理氣相沉積
TW200824041A (en) Method and apparatus of forming film, and recording medium
JP2023546468A (ja) 酸化物薄膜の製造方法
JP2001240963A (ja) 重ガススパッタリングによるイオン化金属プラズマ技術
TWI827525B (zh) 物理氣相沉積方法、懸伸減少的方法及沉積銅襯墊的方法
WO2015119825A1 (en) Methods for reducing material overhang in a feature of a substrate
US20230113961A1 (en) Overhang reduction using pulsed bias
EP4370725A1 (en) Methods and apparatus for processing a substrate
TW202117037A (zh) 用於藉由物理氣相沉積(pvd)來沉積鋁的方法與設備
US9218961B2 (en) Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
WO2002009149A2 (en) Post deposition sputtering
US20220285129A1 (en) Pulsed DC Power For Deposition Of Film
JP2004131839A (ja) パルス化された電力によるスパッタリング堆積