KR20190024823A - 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조 - Google Patents

기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조 Download PDF

Info

Publication number
KR20190024823A
KR20190024823A KR1020180102607A KR20180102607A KR20190024823A KR 20190024823 A KR20190024823 A KR 20190024823A KR 1020180102607 A KR1020180102607 A KR 1020180102607A KR 20180102607 A KR20180102607 A KR 20180102607A KR 20190024823 A KR20190024823 A KR 20190024823A
Authority
KR
South Korea
Prior art keywords
molybdenum
metal film
substrate
molybdenum metal
less
Prior art date
Application number
KR1020180102607A
Other languages
English (en)
Other versions
KR102553413B1 (ko
Inventor
부샨 조페
샨카르 스와미나탄
키란 쉬레스타
치유 주
헨리 뚜오마스 안떼로 유실라
치 셰
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/691,241 external-priority patent/US11056344B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190024823A publication Critical patent/KR20190024823A/ko
Application granted granted Critical
Publication of KR102553413B1 publication Critical patent/KR102553413B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]

Abstract

주기적 증착 공정에 의해 기판의 유전체 재료 표면 상에 직접 몰리브덴 금속막을 증착하는 방법이 개시된다. 상기 방법은 유전체 표면을 포함하는 기판을 반응 챔버에 제공하는 단계; 및 상기 유전체 표면 상에 직접 몰리브덴 금속막을 증착시키는 단계를 포함하되, 상기 증착 단계는 상기 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계; 및 상기 기판을 환원제 전구체를 포함하는 제2 기상 반응물과 접촉시키는 단계를 포함할 수 있다. 본 개시의 상기 방법에 따라 증착된 유전체 표면 상에 직접 배치된 몰리브덴 금속막을 포함하는 반도체 소자 구조 또한 개시된다.

Description

기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조{METHODS FOR DEPOSITING A MOLYBDENUM METAL FILM ON A DIELECTRIC SURFACE OF A SUBSTRATE AND RELATED SEMICONDUCTOR DEVICE STRUCTURES}
관련 출원의 상호 참조
본원은 2017년 8월 30일자로 출원되고 "층 형성 방법"으로 명명된 미국 비가출원 제15/691,241호, 2017년 12월 18일자로 출원되고 "층 형성 방법"으로 명명된 미국 가출원 제62/607,070호, 및 2018년 1월 19일자로 출원되고 "증착 방법"으로 명명된 미국 가출원 제62/619,579호에 대해 우선권을 주장한다.
기술분야
본 개시는 일반적으로 기판의 유전체 재료 표면 상에 몰리브덴 금속막을 증착하는 방법 및 주기적 증착 공정에 의해 유전체 재료의 표면 상에 직접 몰리브덴 금속막을 증착하는 구체적인 방법에 관한 것이다. 본 개시는 또한 일반적으로 유전체 재료의 표면 상에 직접 배치된 몰리브덴 금속막을 포함하는 반도체 소자 구조에 관한 것이다.
첨단 기술 분기점에서의 반도체 소자 제조 공정은 일반적으로 예를 들어, 텅스텐 금속막 및 구리 금속막과 같은 금속막을 형성하기 위한 최첨단 증착 방법을 요구한다.
금속막의 증착을 위한 공통적인 요건은 증착 공정이 매우 등각성(conformal)이라는 것이다. 예를 들어, 높은 종횡비 피처를 포함하는 3 차원 구조 위에 걸쳐 금속막을 균일하게 증착하기 위해 등각성 증착(conformal deposition)이 종종 요구된다. 금속막의 증착을 위한 또 다른 공통 요건은 증착 공정이 대형 기판 영역 위에 걸쳐 연속적인 초박막(ultra-thin films)을 증착할 수 있다는 것이다. 금속막이 전기 전도성인 구체적인 경우에, 증착 공정은 저 전기 비저항성 막을 생성하도록 최적화될 필요가 있을 수 있다.
최첨단 반도체 소자 응용에 일반적으로 이용되는 저 전기 비저항성 금속막은 텅스텐(W) 및/또는 구리(Cu)를 포함할 수 있다. 그러나, 텅스텐 금속막 및 구리 금속막은 일반적으로 금속막과 유전체 재료 사이에 배치된 두꺼운 장벽층(barrier layer)을 요구한다. 두꺼운 장벽층은 금속종이 하부의 유전체 재료로 확산하는 것을 방지하여 소자의 신뢰성 및 소자 수율을 향상시키는 데 이용될 수 있다. 그러나, 두꺼운 장벽층은 일반적으로 높은 전기 비저항을 나타내고, 따라서 반도체 소자 구조의 전반적인 전기 비저항성을 증가시킨다.
예를 들어 원자층 증착(ALD) 및 주기적 화학 기상 증착(CCVD)과 같은 주기적 증착 공정은 반응 챔버 내로 하나 이상의 전구체(반응물)를 순차적으로 도입하되, 상기 전구체는 순차적인 방식으로 한 번에 기판의 표면과 반응한다. 원자 수준의 두께 제어와 우수한 등각성을 갖는 금속막을 제조하는 주기적 증착 공정이 시현되어 왔다.
따라서, 방법 및 이와 관련된 반도체 소자 구조는 등각성 주기적 증착 공정에 의해 증착되는 저 전기 비저항성 금속막의 증착 및 이용에 바람직하다.
본 발명의 내용은 선정된 개념을 단순화된 형태로 소개하기 위해 제공된다. 이들 개념은 하기의 본 개시의 예시적 구현예의 상세한 설명에 더 상세하게 기재되어 있다. 이러한 내용은 청구된 요지의 주된 특징 또는 필수적인 특징을 구분하려는 의도가 아니며 청구된 요지의 범주를 제한하기 위해 사용하려는 의도 또한 아니다.
일부 구현예에서, 주기적 증착 공정에 의해 기판의 유전체 재료 표면 상에 몰리브덴 금속막을 증착하는 방법이 제공된다. 상기 방법은 유전체 표면을 포함하는 기판을 반응 챔버에 제공하는 단계; 및 상기 유전체 표면 상에 직접 몰리브덴 금속막을 증착시키는 단계를 포함하되, 상기 증착 단계는 상기 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계; 및 상기 기판을 환원제 전구체를 포함하는 제2 기상 반응물과 접촉시키는 단계를 포함할 수 있다.
일부 구현예에서, 반도체 소자 구조가 제공된다. 반도체 소자 구조는, 유전체 재료의 표면을 포함하는 하나 이상의 갭 피처를 포함하는 기판, 및 상기 하나 이상의 갭 피처 내에 배치되고 충진하는 몰리브덴 금속막(상기 몰리브덴 금속막은 상기 유전체 재료의 표면과 직접 접촉하여 배치됨)을 포함할 수 있다.
본 발명 및 선행 기술에 대하여 달성되는 장점들을 요약하기 위한 목적으로, 본 발명의 특정 목적 및 장점들이 본원에 기술되었다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들어 당업자는, 본 발명이, 본원에 교시 또는 제안될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고서, 본원에 교시되거나 제시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두 본원에 개시된 본 발명의 범주 내에 있도록 의도된다. 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 특정 구현예들의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명하게 될 것이고, 본 발명은 개시된 임의의 특정 구현예(들)에 한정되지 않는다.
본 명세서는 본 발명의 구현예로 간주되는 것을 특별히 지적하고 명백하게 주장하는 청구범위로 결론을 내지만, 본 개시의 구현예들의 장점들은 첨부한 도면들과 관련하여 읽을 때 본 개시의 구현예들의 특정 예의 설명으로부터 더욱 쉽게 확인될 수 있고, 도면들 중:
도 1은 본 개시의 구현예에 따라 유전체 표면 상에 직접 몰리브덴 금속막을 증착하기 위한 원자층 증착 공정을 설명하는 비제한적인 예시적 공정 흐름을 도시한다.
도 2는 본 개시의 구현예에 따라 유전체 표면 상에 직접 몰리브덴 금속막을 증착하기 위한 주기적 기상 증착 공정을 설명하는 비제한적인 예시적 공정 흐름을 도시한다.
도 3은 본 개시의 구현예에 따라 유전체 표면 상에 직접 증착된 몰리브덴 금속막으로부터 얻어진 X선 회절(XRD) 데이터를 도시한다.
도 4a 및 도 4b는 본 발명의 구현예에 따라 유전체 표면 상에 직접 배치된 몰리브덴 금속막을 포함하는 반도체 소자 구조의 단면 개략도를 도시한다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되는 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본원에 제시된 예시는 임의의 특정한 물질, 구조, 또는 소자의 실제 뷰를 의도하려 하는 것은 아니며, 단지 본 개시의 구현예를 설명하기 위해 사용되는 이상화된 표현이다.
본원에서 사용되는 바와 같이, 용어 "기판(substrate)"은, 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "주기적 증착(cyclic deposition)"은 반응 챔버 내로 하나 이상의 전구체(반응물)를 순차적으로 도입시켜 기판 위에 막을 증착하는 것을 지칭할 수 있으며 원자층 증착 및 주기적 화학 기상 증착과 같은 증착 기술을 포함한다.
본원에서 사용되는 바와 같이, 용어 "주기적 화학 기상 증착(cyclical chemical vapor deposition)"은 원하는 증착을 생성시키기 위해 기판 상에서 반응 및/또는 분해되는 하나 이상의 휘발성 전구체에 기판이 순차적으로 노출되는 임의의 공정을 지칭할 수 있다.
본원에서 사용되는 바와 같이, 용어 "원자층 증착"(ALD)은 증착 사이클, 바람직하게는, 복수의 연속 증착 사이클이 반응 챔버에서 수행되는 기상 증착 공정을 지칭할 수 있다. 일반적으로, 각각의 사이클 동안, 전구체는 증착 표면(예, 기판 표면, 또는 이전 ALD 사이클로부터의 물질과 같은 이전에 증착된 하부 표면)에 화학 흡착되고, 추가적인 전구체와 쉽게 반응하지 않는(즉, 자기 제한적 반응) 단층 또는 서브 단층을 형성한다. 그 후 필요한 경우, 증착 표면 상에서 화학 흡착된 전구체를 원하는 재료로 전환시키는 용도로, 반응물(예, 다른 전구체 또는 반응 가스)이 후속해서 공정 챔버에 유입될 수 있다. 일반적으로, 이러한 반응물은 전구체와 더 반응할 수 있다. 각 사이클 동안 공정 챔버로부터 과잉의 전구체를 제거하고/하거나, 화학 흡착된 전구체의 변환 후 공정 챔버로부터 과잉의 반응물 및/또는 반응 부산물을 제거하기 위해 퍼징(purging) 단계들이 더 사용될 수도 있다. 추가로, 본원에서 사용된 용어 "원자층 증착(atomic layer deposition)"은 "화학적 기상 원자층 증착(chemical vapor atomic layer deposition)", "원자층 에피택시(atomic layer epitaxy)"(ALE), 분자 빔 에피택시(molecular beam epitaxy)(MBE), 가스 공급원 MBE, 또는 유기금속 MBE, 및 전구체 조성물(들), 반응 가스, 및 퍼지(예, 불활성 캐리어) 가스의 교번 펄스(alternating pulses)로 수행되는 경우의 화학적 빔 에피택시와 같은 관련 용어들에 의해 지정된 공정을 포함하는 것을 또한 의미한다.
본원에 사용된 바와 같이, 용어 "막(film)" 및 "박막(thin film)"은 본원에 개시된 방법에 의해 형성된 임의의 연속적인 또는 비연속적인 구조 및 재료를 지칭한다. 예컨대, "막" 및 "박막"은 2D 재료, 나노라미네이트, 나노막대, 나노튜브 또는 나노입자 또는 심지어는 부분 또는 전체 분자층 또는 부분 또는 전체 원자층 또는 원자 및/또는 분자 클러스터를 포함할 수 있다. "막" 및 "박막"은 핀홀을 포함하는 재료 또는 층을 포함할 수 있지만 여전히 적어도 부분적으로 연속적일 수 있다.
본원에서 사용된 바와 같이, 용어 "몰리브덴할라이드 전구체(molybdenum halide precursor)"라는 용어는 적어도 몰리브덴 성분 및 할라이드 성분을 포함하는 반응물을 지칭할 수 있으며, 할라이드 성분은 염소 성분, 요오드 성분 또는 브롬 성분 중 하나 이상을 포함할 수 있다.
본원에 사용된 바와 같이, 용어 "몰리브덴칼코지나이드할라이드(molybdenum chalcogenide halide)"는 적어도 몰리브덴 성분, 할라이드 성분 및 칼코겐 성분을 포함하는 반응물을 지칭할 수 있으며, 여기서 칼코겐은 산소(O), 황(S), 셀레늄(Se) 및 텔루륨(Te)을 포함하는 주기율표의 IV족 원소이다.
본원에 사용된 바와 같이, 용어 "몰리브덴옥시할라이드(molybdenum oxyhalide)"는 적어도 몰리브덴 성분, 산소 성분 및 할라이드 성분을 포함하는 반응물을 지칭할 수 있다.
본원에 사용된 바와 같이, 용어 "환원제 전구체(reducing agent precursor)"는 산화 환원 화학 반응에서 다른 종에 전자를 제공하는 반응물을 지칭할 수 있다.
본원에서 사용된 바와 같이, 용어 "결정질막(crystalline film)"은 결정 구조가 적어도 단거리 범위 규칙 또는 심지어 장거리 범위 규칙을 나타내는 막을 지칭할 수 있으며, 단결정질막 및 다결정질막을 포함한다.
본원에서 사용된 바와 같이, 용어 "갭 피처(gap feature)"는 비평면형 표면의 두 표면 사이에 배치된 개구(opening) 또는 공동(cavity)을 지칭할 수 있다. 용어 "갭 피처 (gap feature)"는 기판의 표면으로부터 수직 방향으로 연장되는 2 개의 돌출된 곳 또는 기판의 표면 내로 수직으로 연장하는 움푹 들어간 곳의 대향하는 경사 측벽 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 이러한 갭 피처를 "수직 갭 피처(vertical gap feature)"라고 지칭될 수 있다. 용어 "갭 피처(gap feature)"는 또한 2 개의 대향하는 실질적 수평 표면 사이에 배치된 개구 또는 공동을 지칭할 수 있으며, 수평 표면은 수평 개구 또는 공동을 경계 짓는다. 이러한 갭 피처는 "수평 갭 피처(horizontal gap feature)"라고 지칭될 수 있다.
본원에 사용된 것처럼, 용어 "심(seam)"은 갭 충진 금속(gap fill metal)에 형성된 모서리의 접촉에 의해 형성된 하나 이상의 공극(void) 또는 선을 지칭할 수 있으며, "심"은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경(TEM)을 사용하여 확인될 수 있으며, 만약 관찰에서 수직 갭 충진 금속에서의 명확한 수직선 또는 하나 이상의 수직 공극 또는 수평 갭 충진 금속에서의 명확한 수평선 또는 하나 이상의 수평 공극이 드러나는 경우, "심"이 존재한다. 다수의 예시적인 물질들은 본 개시의 구현예를 통해 주어지고, 예시적인 물질들 각각에 주어진 화학식들을 제한적인 것으로 이해해서는 안되고, 주어진 비제한적 예시적인 물질들이 주어진 예시적 화학량론에 의해 한정되어서는 안된다라는 점을 주목해야 한다.
본 개시는 유전체 재료의 표면 상에 직접적으로, 즉 임의의 중간층(들)을 필요로 하지 않고 몰리브덴 금속막을 증착하는 방법을 포함한다. 몰리브덴 금속 박막은 예를 들어, 낮은 전기 저항성 갭 필, 3D-NAND를 위한 라이너층, DRAM 워드 라인 피처 또는 CMOS 로직 응용의 상호 연결 물질과 같은 다수의 응용에 이용될 수 있다. 유전체 표면 상에 몰리브덴 금속막을 직접 증착하는 능력은 유전체 재료와 몰리브덴 금속막 사이의 중간층에 대한 필요성을 제거할 수 있으며, 이는 즉 CMOS 구조와 같은 로직 응용에서의 상호 연결 및 3D-NAND 및 DRAM 구조와 같은 메모리 응용에서의 워드 라인/비트 라인에 대해 보다 낮은 유효 전기 비저항을 허용할 수 있다.
따라서, 본 개시의 구현예는 주기적 증착 공정에 의해 기판의 유전체 표면 상에 직접 몰리브덴 금속막을 증착하는 방법을 포함할 수 있다. 상기 방법은 유전체 재료 표면을 포함하는 기판을 반응 챔버에 제공하는 단계; 및 상기 유전체 표면 상에 직접 몰리브덴 금속막을 증착시키는 단계를 포함하되, 상기 증착 단계는 상기 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계; 및 상기 기판을 환원제 전구체를 포함하는 제2 기상 반응물과 접촉시키는 단계를 포함한다.
본원에 개시된 기판의 유전체 표면 상에 직접 몰리브덴 금속막을 증착시키는 방법은 예를 들어 원자층 증착(ALD) 또는 주기적 화학 기상 증착(CCVD)과 같은 주기적 증착 공정을 포함할 수 있다.
주기적 증착 공정의 비제한적이고 예시적인 구현예는 원자층 증착(ALD)을 포함할 수 있으되, ALD는 일반적으로 자기 제한적 반응에 기반하고, 이에 의해 교대로 순차적인 반응물 펄스가 증착 사이클당 약 하나의 원자(또는 분자) 단층을 증착하기 위해 사용된다. 증착 조건 및 전구체는 통상적으로 자기 포화 반응을 제공하도록 선택되어, 하나의 반응물의 흡착된 층이 동일한 반응물의 기상 반응물과 비반응성인 표면 종결부를 남긴다. 기판은 이전의 종결부와 반응하는 상이한 반응물과 후속적으로 접촉되어, 연속된 증착을 가능하게 한다. 따라서, 교번 펄스의 각각의 사이클은 통상적으로 원하는 재료를 약 단일층 이하로 남긴다. 그러나, 전술된 바와 같이, 당업자는 하나 이상의 ALD 사이클에서, 예를 들면 공정의 교번 특성에도 불구하고 일부 기상 반응이 발생하는 경우, 단일층보다 많은 재료가 증착될 수 있음을 인식할 것이다.
유전체 표면 상에 직접 몰리브덴 금속막을 형성하기 위해 이용되는 ALD형 공정에서, 하나의 증착 사이클은, 기판을 제1 기상 반응물에 노출시키는 단계, 임의의 미반응된 제1 반응물 및 반응 부산물을 반응 챔버로부터 제거하는 단계, 및 기판을 제2 기상 반응물에 노출시키는 단계, 이어서 제2 제거 단계를 포함한다. 본 개시의 일부 구현예에서, 제1 기상 반응물은 몰리브덴 전구체를 포함할 수 있고 제2 기상 반응물은 환원제 전구체를 포함할 수 있다.
반응물 사이의 기상 반응을 방지하고 자기 포화 표면 반응을 가능하게 하도록, 전구체는 아르곤(Ar) 또는 질소(N2)와 같은 불활성 가스에 의해 분리될 수 있다. 그러나, 일부 구현예에서, 기판은 제1 기상 반응물 및 제2 기상 반응물과 개별적으로 접촉되도록 이동될 수 있다. 반응은 자기 포화되기 때문에, 기판의 엄격한 온도 제어 및 전구체의 정확한 투여량 제어는 요구되지 않을 수 있다. 그러나, 기판 온도는 바람직하게는 입사 가스종이 단층으로 응축되지 않거나 표면에서 분해되지 않도록 한다. 잉여 화학 물질 및 반응 부산물이 존재하는 경우, 기판이 다음 반응 화학 물질과 접촉하기 전에 이들은, 예를 들어 반응 공간을 퍼징하거나 기판을 이동함으로써 기판 표면으로부터 제거된다. 원하지 않는 가스 분자들은 불활성 퍼징 가스의 도움으로 반응 공간으로부터 효과적으로 방출될 수 있다. 진공 펌프는 퍼징을 돕는 데 사용될 수 있다.
유전체 재료 표면 상에 직접 몰리브덴 금속막을 증착시키는 데 사용될 수 있는 리액터는 본원에 기술된 주기적 증착 공정에 사용될 수 있다. 이러한 리액터는 전구체를 제공하도록 구성된 ALD 리액터 뿐만 아니라 CVD 리액터를 포함한다. 일부 구현예에 따라, 샤워헤드 리액터가 사용될 수 있다. 일부 구현예에 따라, 크로스 플로우, 배치, 미니배치 또는 공간 ALD 리액터가 사용될 수 있다.
본 개시의 일부 구현예에서, 배치식 리액터가 사용될 수 있다. 일부 구현예에서, 수직형 배치식 리액터가 사용될 수 있다. 다른 구현예에서, 배치식 리액터는 10개 이하의 웨이퍼, 8개 이하의 웨이퍼, 6개 이하의 웨이퍼, 4개 이하의 웨이퍼 또는 2개의 웨이퍼를 수용하도록 구성된 미니 배치식 리액터를 포함한다. 배치식 리액터가 사용되는 일부 구현예에서, 웨이퍼 대 웨이퍼 비-균일도는 3%(1 시그마) 미만, 2% 미만, 1% 미만 또는 심지어 0.5% 미만이다.
본원에서 설명되는 예시적인 주기적 증착 공정은 클러스터 툴에 연결된 리액터 또는 반응 챔버에서 선택적으로 수행될 수 있다. 클러스터 툴에서, 각각의 반응 챔버는 한 유형의 공정에 전용되기 때문에, 각각의 모듈 내 반응 챔버의 온도는 일정하게 유지될 수 있으며, 이로부터 공정이 각각 실행되기 전에 기판이 공정 온도로 가열되는 리액터에 비해 처리량이 향상된다. 추가적으로 클러스터 툴에서는, 기판들 사이의 원하는 공정 압력 레벨까지 반응 챔버를 펌핑하는 시간이 줄어들 수 있다. 본 개시의 일부 구현예에서, 본원에 개시된 유전체 표면 상에 몰리브덴 금속막을 직접 증착하기 위한 예시적인 주기적 증착 공정은 다수의 반응 챔버를 포함하는 클러스터 툴에서 수행될 수 있으며, 각각의 개별 반응 챔버는 기판을 개별적인 전구체 가스에 노출시키는 데 사용될 수 있으며, 기판은 다수의 전구체 가스에 노출시키기 위해 상이한 반응 챔버 사이에서 이송될 수 있으며, 기판의 이송은 기판의 산화/오염을 방지하기 위해 제어된 분위기 하에서 수행된다. 본 개시의 일부 구현예에서, 유전체 표면 상에 직접 몰리브덴 금속막의 증착을 위한 주기적 증착 공정은 다수의 반응 챔버를 포함하는 클러스터 툴에서 수행될 수 있으며, 각각의 개별 반응 챔버는 기판을 다른 온도로 가열하도록 구성될 수 있다.
독립형 리액터는 로드-록(load-lock)이 장착될 수 있다. 이러한 경우, 각 공정 실행 사이에 반응 챔버를 냉각할 필요가 없다.
본 개시의 일부 비제한적인 구현예에 따라, ALD 공정은 유전 재료 표면 상에 직접 몰리브덴 금속막을 증착시키는 데 사용될 수 있다. 본 개시의 일부 구현예에서, 각각의 ALD 사이클은 구별된 두 개의 증착 스텝 또는 스테이지를 포함한다. 증착 사이클의 제1 단계("몰리브덴 단계")에서, 기판 표면 상에 증착을 원하는 기판 표면은 기판 표면 상으로 화학 흡착하는 몰리브덴 전구체를 포함하는 제1 기상 반응물과 접촉될 수 있고, 기판 표면 상에 반응물종의 대략 단일층 이하를 형성한다. 증착의 제2 단계는 기판 표면 상에 증착을 원하는 기판 표면은 환원제 전구체를 포함하는 제2 기상 반응물과 접촉될 수 있다("환원 단계").
유전체 재료 표면 상에 직접 몰리브덴 금속막을 증착하기 위한 예시적인 원자층 증착 공정은 유전체 표면 상에 직접 몰리브덴 금속막을 증착하기 위한 예시적인 원자층 증착 공정(100)을 도시하는 도 1을 참조로 이해될 수 있다.
보다 상세하게, 도 1은 주기적 증착 페이즈(105)를 포함하는 예시적인 몰리브덴 증착 공정(100)을 도시한다. 예시적인 원자층 증착 공정(100)은 유전체 표면을 포함하는 기판을 반응 챔버에 제공하고 원하는 증착 온도로 기판을 가열하는 단계를 포함하는 공정 블록(110)으로 시작될 수 있다.
본 개시의 일부 구현예에서, 기판은 예를 들어 트렌치 구조, 수직 갭 피처, 수평 갭 피처 및 / 또는 핀 구조와 같은 고 종횡비 피처를 포함하는 평면 기판 또는 패터닝된 기판을 포함할 수 있다. 기판은 반도체 재료, 유전체 재료 및 금속 재료를 포함하지만 이에 제한되지 않는 하나 이상의 재료를 포함할 수 있다.
일부 구현예에서, 기판은 실리콘(Si), 게르마늄(Ge), 게르마늄주석(GeSn), 실리콘게르마늄(SiGe), 실리콘게르마늄주석(SiGeSn), 실리콘카바이드(SiC), 또는 III-V족 반도체 재료를 포함할 수 있다.
일부 구현예에서, 기판은 실리콘 함유 유전체 재료 및 금속 산화물과 같은(이에 한정되지 않음) 유전체 재료를 포함할 수 있다. 일부 구현예에서, 기판은 실리콘디옥사이드(SiO2), 실리콘서브옥사이드, 실리콘나이트라이드(Si3N4), 실리콘옥시나이트라이드(SiON), 실리콘옥시카바이드(SiOC), 실리콘옥시카바이드나이트라이드(SiOCN), 실리콘카본나이트라이드(SiCN)(이에 한정되지 않음)와 같은 실리콘 함유 유전체 재료를 포함하는 하나 이상의 유전체 표면을 포함할 수 있다. 일부 구현예에서 기판은 알루미늄옥사이드(Al2O3), 하프늄옥사이드(HfO2), 탄탈륨옥사이드(Ta2O5), 지르코늄옥사이드(ZrO2), 티타늄옥사이드(TiO2), 하프늄실리케이트(HfSiOx) 및 란타늄옥사이드(La2O3)(이에 한정되지 않음)와 같은 금속 산화물을 포함하는 유전체 표면을 포함할 수 있다.
본 개시의 일부 구현예에서, 기판은 표면 반도체층이 그 사이에 배치된 중간 매립 산화물(BOX)을 갖는 벌크 지지체 위에 배치되는 엔지니어링된 기판을 포함할 수 있다.
패터닝된 기판은 기판의 표면 내로 또는 표면 위로 형성된 반도체 소자 구조를 포함할 수 있는 기판을 포함할 수 있고, 예를 들어 패터닝된 기판은 트랜지스터 또는 메모리 소자와 같이 부분적으로 제조된 반도체 소자 구조를 포함할 수 있다. 일부 구현예에서, 기판은 단결정질 표면 및/또는 하나 이상의 이차 표면을 포함할 수 있고, 상기 이차 표면은 비단결정질 표면, 예컨대 다결정질 표면 및/또는 비정질 표면을 포함할 수 있다. 단결정질 표면은, 예를 들어, 실리콘(Si), 실리콘 게르마늄(SiGe), 게르마늄 주석(GeSn), 게르마늄(Ge)중 하나 이상을 포함할 수 있다. 다결정질 또는 비정질 표면은 옥사이드, 옥시나이트라이드, 옥시카바이드, 나이트라이드 또는 이의 혼합물과 같은 유전체 재료를 포함할 수 있다.
증착용 반응 챔버는 원자층 증착 반응 챔버 또는 화학 기상 증착 챔버 또는 본원에서 전술한 임의의 반응 챔버일 수 있다. 본 개시의 일부 구현예에서, 기판은 후속의 주기적 증착 페이즈(105)를 위해 원하는 증착 온도로 가열될 수 있다. 예를 들어, 기판은 약 800℃ 미만, 또는 약 700℃ 미만, 또는 약 600℃ 미만, 또는 약 500℃ 미만, 또는 약 400℃ 미만, 또는 약 300℃ 미만, 또는 심지어 약 200℃ 미만의 기판 온도로 가열될 수 있다. 본 개시의 일부 구현예에서, 예시적인 원자층 증착 공정(100) 동안의 기판 온도는 200℃ 내지 800℃, 또는 400℃ 내지 700℃, 또는 500℃ 내지 600℃일 수 있다.
또한, 원하는 증착 온도, 즉 원하는 기판 온도를 달성하기 위해, 예시적인 원자층 증착 공정(100)은 증착 동안 반응 챔버 내의 압력을 조절하여 증착 몰리브덴 금속막의 바람직한 특성을 얻고 유전체 표면 상의 몰리브덴 금속막의 직접 증착을 달성하도록 한다. 예를 들어, 본 개시의 일부 구현예에서, 예시적인 원자층 증착 공정(100)은 300 Torr 미만, 또는 200 Torr 미만, 또는 100 Torr 미만 또는 50 Torr 미만, 또는 30 Torr 미만, 또는 심지어 10 Torr 미만의 반응 챔버 압력으로 조절된 반응 챔버 내에서 수행될 수 있다. 일부 구현예에서, 증착 동안 반응 챔버 내의 압력은 10 Torr 내지 300 Torr, 또는 30 Torr 내지 80 Torr, 또는 30 Torr 이상의 압력으로 조절될 수 있다.
기판을 원하는 증착 온도로 가열하고 반응 챔버 내의 압력을 조절할 때, 예시적인 원자층 증착 공정(100)은 공정 블록(120)에 의해 주기적 증착 페이즈(105)를 계속할 수 있으며, 이는 기판을 제1 기상 반응물, 특히 일부 구현예에서, 몰리브덴할라이드 전구체, 즉 몰리브덴 전구체를 포함하는 제1 기상 반응물로 기판을 접촉시키는 단계를 포함한다.
본 개시의 일부 구현예에서, 상기 몰리브덴할라이드 전구체는 몰리브덴클로라이드 전구체, 몰리브덴요오드 전구체 또는 몰리브덴브로마이드 전구체를 포함할 수 있다. 예를 들어, 비제한적인 예로서, 제1 기상 반응물은 예를 들어, 몰리브덴펜타클로라이드(MoCl5)와 같은 몰리브덴클로라이드를 포함할 수 있다.
일부 구현예에서, 몰리브덴할라이드 전구체는 몰리브덴칼코지나이드를 포함할 수 있고, 특정 구현예에서, 몰리브덴할라이드 전구체는 몰리브덴칼코지나이드할라이드를 포함할 수 있다. 예를 들어, 몰리브덴칼코지나이드할라이드 전구체는 몰리브덴옥시클로라이드, 몰리브덴옥시요오드 또는 몰리브덴옥시브로마이드를 포함하는 군으로부터 선택된 몰리브덴옥시할라이드를 포함할 수 있다. 본 개시의 특정 구현예에서, 몰리브덴 전구체는 몰리브덴(IV)디클로라이드디옥사이드(MoO2Cl2)를 포함하나 이에 제한되지 않는 몰리브덴옥시클로라이드를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계는 약 0.1 초 내지 약 60 초, 약 0.1 초 내지 약 10 초, 또는 약 0.5 초 내지 5.0 초 동안 기판에 몰리브덴할라이드 전구체를 접촉시키는 단계를 포함 할 수있다. 추가적으로, 몰리브덴할라이드 전구체를 기판 위에 접촉시키는 동안 몰리브덴할라이드 전구체의 유속은 1000 sccm 미만, 또는 500 sccm 미만, 또는 100 sccm 미만, 또는 10 sccm 미만 또는 심지어 1 sccm 미만일 수 있다. 또한, 기판을 몰리브덴할라이드 전구체와 접촉시키는 동안, 몰리브덴 전구체의 유속은 약 1 내지 2000 sccm, 약 5 내지 1000 sccm, 또는 약 10 내지 약 500 sccm 범위일 수 있다.
도 1의 공정(100)에 의해 도시된 바와 같이, 유전체 표면 상에 직접 몰리브덴 금속막을 증착하기 위한 예시적인 원자층 증착 공정은 반응 챔버를 퍼징함으로써 계속될 수 있다. 예를 들어, 과잉의 제1 기상 반응물 및 반응 부산물(존재한다면)은 예를 들어, 불활성 가스로 펌핑함으로써 기판의 표면으로부터 제거될 수 있다. 본 개시의 일부 구현예에서, 퍼지 공정은 기판 표면이 약 5.0 초 미만, 또는 약 3.0 초 미만, 또는 심지어 약 2.0 초 미만의 시간 동안 퍼지되는 퍼지 사이클을 포함할 수 있다. 예를 들어 과잉의 몰리브덴 전구체와 같은 과잉의 제1 기상 반응물 및 임의의 가능한 반응 부산물은 반응 챔버와 유체 연통하는 펌핑 시스템에 의해 생성된 진공의 도움으로 제거될 수 있다.
퍼지 사이클로 반응 챔버를 퍼징할 때, 예시적인 원자층 증착 공정(100)은 기판을 제2 기상 반응물과 접촉시키는 단계를 포함하는 공정 블록(130)에 의해 주기적 증착 페이즈(105)의 제2 단계로 계속될 수 있고, 특히 환원제 전구체("환원성 전구체")를 포함하는 제2 기상 반응물로 기판을 접촉시키는 단계를 포함한다.
본 개시의 일부 구현예에서 환원제 전구체는 포밍 가스(H2 + N2), 암모니아(NH3), 히드라진(N2H4), 알킬히드라진(예, 제3차부틸히드라진(C4H12N2)), 분자 수소(H2), 수소 원자(H), 수소 플라즈마, 수소 래디컬, 수소 여기종, 알코올, 알데히드, 카르복실산, 보레인 또는 아민의 적어도 하나를 포함할 수 있다. 또 다른 구현예에서, 환원제 전구체는 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 저메인(GeH4), 디저메인(Ge2H6), 보레인(BH3), 또는 디보레인(B2H6)의 적어도 하나를 포함할 수 있다. 본 개시의 특정 구현예에서, 환원제 전구체는 분자 수소(H2)를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판을 환원제 전구체와 접촉시키는 단계는 기판을 환원제 전구체와 약 0.01 초 내지 약 180 초, 약 0.05 초 내지 약 60 초, 또는 약 0.1 초 내지 약 10.0 초 사이의 시간 동안 접촉시키는 단계를 포함할 수 있다. 또한, 기판을 환원제 전구체와 접촉시키는 동안, 환원제 전구체의 유속은 30 slm 미만, 또는 15 slm 미만, 또는 10 slm 미만, 또는 5 slm 미만, 1 slm 미만, 또는 심지어 0.1 slm 미만일 수 있다. 또한, 환원제 전구체와 기판의 접촉 동안 기판으로 환원제 전구체의 유속은 약 0.1 내지 30 slm, 약 5 내지 15 slm, 또는 10 slm 이상일 수 있다.
기판을 환원제 전구체와 접촉 시, 유전체 표면 상에 직접 몰리브덴 금속막을 증착시키는 예시적인 공정(100)은 반응 챔버를 퍼징함으로써 진행될 수 있다. 예를 들어, 과잉의 환원제 전구체 및 반응 부산물은(존재하면) 예를 들어 불활성 가스를 흘리면서 펌핑함으로써 기판 표면으로부터 제거될 수 있다. 본 개시의 일부 구현예에서, 퍼지 공정은 약 0.1 초 내지 약 30 초, 또는 약 0.5 초 내지 약 3 초, 또는 심지어 약 1 초 내지 2 초 사이의 시간 동안 기판 표면을 퍼징하는 단계를 포함할 수 있다.
반응 챔버로부터의 제2 기상 반응물, 즉 환원제 전구체(및 임의의 반응 부산물)의 퍼지가 완료되면, 예시적인 원자층 증착 공정(100)의 주기적 증착 페이즈(105)는 결정 게이트(140)로 계속될 수 있으되, 결정 게이트(140)는 증착된 몰리브덴 금속막의 두께에 의존한다. 예를 들어, 몰리브덴 금속막이 원하는 소자 응용을 위해 불충분한 두께로 증착되는 경우, 주기적 증착 페이즈(105)는 공정 블록(120)으로 돌아가고 추가 증착 사이클을 계속함으로써 반복될 수 있으며, 단위 증착 사이클은 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계(공정 블록(120)), 반응 챔버를 퍼징하는 단계, 기판을 환원제 전구체와 접촉시키는 단계(공정 블록(130)), 및 반응 챔버를 다시 퍼징하는 단계를 포함할 수 있다. 주기적 증착 페이즈(105)의 단위 증착 사이클은 몰리브덴 금속막의 원하는 두께가 기판 상에, 특히 유전체 표면 상에 직접 증착될 때까지 1 회 이상 반복될 수 있다. 일단 몰리브덴 금속막이 원하는 두께로 증착되면, 예시적인 원자층 증착 공정(100)은 공정 블록(150)을 통해 빠져 나올 수 있고, 유전체 표면을 포함하는 기판은 그 위에 증착된 몰리브덴 금속막을 가지고 소자 구조의 형성을 위한 공정 처리를 더 받을 수 있다.
본 개시의 일부 구현예에서, 기판이 제1 기상 반응물(예, 몰리브덴 전구체) 및 제2 기상 반응물(예, 환원제 전구체)과 접촉하는 순서는, 기판이 제2 기상 반응물과 먼저 접촉하고 이어서 제1 기상 반응물과 접촉하는 순서일 수 있는 것으로 이해되어야 한다. 또한, 일부 구현예에서, 예시적인 공정(100)의 주기적 증착 페이즈(105)는 기판을 제2 기상 반응물과 1 회 이상 접촉시키기 전에 기판을 제1 기상 반응물과 1 회 이상 접촉시키는 단계를 포함할 수 있다. 또한, 일부 구현예에서, 예시적인 공정(100)의 주기적 증착 페이즈(105)는 기판을 제1 기상 반응물과 1 회 이상 접촉시키기 전에 기판을 제2 기상 반응물과 1 회 이상 접촉시키는 단계를 포함할 수 있다.
일부 구현예에서, 주기적 증착은 하이브리드 ALD/CVD 또는 주기적 CVD 공정일 수 있다. 예를 들어, 일부 구현예에서, ALD 공정의 성장 속도는 CVD 공정에 비해 낮을 수 있다. 성장 속도를 증가시키는 하나의 접근법은 ALD 공정에서 통상적으로 사용되는 것보다 높은 기판 온도에서 작동시켜, 화학 기상 증착 공정을 유발할 수 있으나, 전구체의 순차적 도입의 장점을 여전히 가지며, 이러한 공정을 주기적 CVD라고 지칭될 수 있다. 일부 구현예에서, 주기적 CVD 공정은 2 개 이상의 전구체를 반응 챔버에 도입하는 단계를 포함할 수 있으며, 반응 챔버 내 2 개 이상의 전구체 사이의 중첩 시간일 수 있어서 증착의 ALD 성분 및 증착의 CVD 성분 양쪽을 초래한다. 예를 들어, 주기적 CVD 공정은 하나의 전구체의 연속적인 유동 및 제2 전구체의 반응 챔버 내로의 주기적 펄스화를 포함할 수 있다.
따라서, 본 개시의 대안적인 구현예에서, 몰리브덴 금속막은 주기적 화학 기상 증착(CCVD) 공정을 이용하여 유전체 재료 표면 상에 직접 증착될 수 있다. 유전체 표면 상에 몰리브덴 금속막을 직접 증착하기 위한 예시적인 주기적 화학 기상 증착 공정(200)이 도 2를 참조하여 도시된다. 주기적 증착 공정(200)은 도 1의 예시적인 원자층 증착 공정(100)의 특정 공정 블록과 동등하거나 실질적으로 동등한 특정 공정 블록을 포함한다는 것을 주목해야 하며, 따라서 동등한 공정 블록이 간략하게 요약되고 추가/수정된 공정 블록이 보다 상세히 설명된다.
보다 상세하게, 예시적인 주기적 화학 기상 증착 공정(200)은 유전체 표면을 포함하는 기판을 반응 챔버에 제공하고 원하는 증착 온도로 기판을 가열하는 단계를 포함하는 공정 블록(210)으로 시작될 수 있다. 공정 블록(110)은 도 1의 참조 공정 블록(110)과 함께 상세히 설명되었으며 따라서, 공정 블록 (210)의 세부 사항은 주기적 화학 기상 증착 공정(200)과 관련하여 반복되지 않는다.
기판을 원하는 증착 온도로 가열하고 반응 챔버 압력을 조절 시, 주기적 화학 기상 증착 공정(200)은 기판을 환원제 전구체와 연속적으로 접촉시키는 단계를 포함하는 공정 블록(220)으로 계속될 수 있다. 보다 상세하게, 환원제 전구체는 반응 챔버 내로 도입될 수 있고, 30 slm 미만, 또는 15 slm 미만, 또는 10 slm 미만, 또는 5 slm 미만 또는 1 slm 미만, 또는 심지어 0.1 slm 미만의 유속으로 반응 챔버에 배치된 기판과 접촉할 수 있다. 일부 구현예에서, 환원제 전구체와 기판의 접촉 동안 환원제 전구체의 유속은 약 0.1 내지 30 slm, 약 5 내지 15 slm, 또는 10 slm 이상일 수 있다. 환원제 전구체는 예시적인 원자층 증착 공정(100)의 공정 블록(130)과 관련하여 상세히 설명된 임의의 하나 이상의 환원제 전구체를 포함할 수 있다.
예시적인 주기적 화학 기상 증착 공정(200)은 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계를 포함하는 공정 블록 (230)에 의해 주기적 증착 페이즈(205)를 수행함으로써 계속될 수 있다. 예시적인 원자층 증착 공정(100)과 대조적으로, 주기적 화학 기상 증착 공정(200)에서, 몰리브덴할라이드 전구체 및 환원제 전구체는 반응 챔버 내에 동시에 존재하며, 따라서 동시에 몰리브덴할라이드 전구체 및 환원제 전구체는 기판과 접촉하고 특히 기판의 유전체 표면과 접촉한다. 환언하면, 공정 블록(230)은 몰리브덴할라이드 전구체 및 환원제 전구체 모두를 반응 챔버로 공동 유입시키고 기판을 적어도 몰리브덴할라이드 전구체 및 환원제 전구체를 포함하는 가스 혼합물과 접촉시키는 단계를 포함한다. 몰리브덴할라이드 전구체는 예시적인 원자층 증착 공정(100)의 공정 블록(120)과 관련하여 상세히 설명된 임의의 하나 이상의 몰리브덴할라이드 전구체를 포함할 수 있다.
본 개시의 일부 구현예에서, 기판을 몰리브덴할라이드 전구체와 접촉시키는 단계(즉, 공정 블록(230))은 약 0.1 초 내지 약 60 초, 약 0.1 초 내지 약 10 초, 또는 약 0.5 초 내지 5.0 초의 시간 동안 기판에 몰리브덴 할라이드 전구체를 접촉시키는 단계를 포함할 수 있다. 추가적으로, 몰리브덴할라이드 전구체를 기판 위에 접촉시키는 동안 몰리브덴할라이드 전구체의 유속은 1000 sccm 미만, 또는 500 sccm 미만, 또는 100 sccm 미만, 또는 10 sccm 미만 또는 심지어 1 sccm 미만일 수 있다. 또한, 기판을 몰리브덴할라이드 전구체와 접촉시키는 동안, 몰리브덴 전구체의 유속은 약 1 내지 2000 sccm, 약 5 내지 1000 sccm, 또는 약 10 내지 약 500 sccm 범위일 수 있다.
환원제 전구체의 흐름을 유지하는 동안, 예시적인 주기적 화학 기상 증착 공정(200)의 주기적 증착 페이즈(205)는 결정 게이트(240)와 계속될 수 있으되, 결정 게이트(240)는 증착된 몰리브덴 금속막의 두께에 의존한다. 예를 들어, 몰리브덴 금속막이 원하는 소자 응용을 위해 불충분한 두께로 증착되는 경우, 주기적 증착 페이즈(205)는 공정 블록(230)으로 되돌아 가서 반응 챔버 내로 몰리브덴할라이드 전구체 펄스를 더 도입함으로써 반복될 수 있다. 따라서, 예시적인 주기적 화학 기상 증착 공정(200)은 환원제 전구체를 연속적으로 유동시키고 주기적으로 반응 챔버 내에 몰리브덴할라이드를 도입함으로써 유전체 재료의 표면 상에 직접 몰리브덴 금속막을 증착시키는 단계를 포함한다. 일단 몰리브덴 금속막이 원하는 두께로 증착되면, 예시적인 주기적 화학 기상 증착 공정(200)은 공정 블록(250)을 통해 빠져 나올 수 있고, 유전체 표면을 포함하는 기판은 그 위에 직접 증착된 몰리브덴 금속막을 가지고 소자 구조의 형성을 위한 공정 처리를 더 받을 수 있다.
본 개시의 대안적인 구현예에서, 예시적인 주기적 화학 기상 증착 공정은 몰리브덴할라이드 전구체를 연속적으로 유동시키고 주기적으로 반응 챔버 내에 환원제 전구체를 도입함으로써 유전체 재료의 표면 상에 직접 몰리브덴 금속막을 증착시키는 단계를 포함한다.
본원의 예시적인 증착 공정은 약 0.05Å/사이클 내지 약 10Å/사이클, 약 0.5Å/사이클 내지 약 5Å/사이클, 또는 심지어 약 1Å/사이클 내지 2Å/사이클의 성장 속도로 유전체 표면 상에 직접 몰리브덴 금속막을 증착할 수 있다. 일부 구현예에서, 유전체 표면 상에 직접적으로 몰리브덴 금속막의 성장 속도는 약 0.5Å/사이클 초과, 약 1Å/사이클 초과, 또는 심지어 약 2Å/사이클 초과이다. 본 개시의 일부 구현예에서 몰리브덴 금속막은 대략 1Å/사이클의 성장 속도로 증착될 수 있다.
본원에 개시된 방법에 의해 증착된 몰리브덴 금속막은 연속적인 막일 수 있다. 일부 구현예에서, 몰리브덴 금속막은 약 100 옹스트롬 미만, 또는 약 60 옹스트롬 미만, 또는 약 50 옹스트롬 미만, 또는 약 40 옹스트롬 미만, 또는 약 30 옹스트롬 미만, 또는 약 20 옹스트롬 미만, 또는 약 10 옹스트롬 미만, 또는 심지어 약 5 옹스트롬 미만의 두께로 연속적일 수 있다. 본원에서 지칭하는 연속성(continuity)은 물리적으로 연속적이거나 전기적으로 연속적일 수 있다. 본 개시의 일부 구현예에서, 재료막이 물리적으로 연속일 수 있는 두께는 막이 전기적으로 연속하는 두께와 동일하지 않을 수 있으며, 그 반대일 수도 있다.
본 개시의 일부 구현예에서, 형성되는 몰리브덴 금속막은 약 20 옹스트롬 내지 약 250 옹스트롬, 또는 약 50 옹스트롬 내지 약 200 옹스트롬, 또는 심지어 약 100 옹스트롬 내지 약 150 옹스트롬의 두께를 가질 수 있다. 일부 구현예에서, 본원에 기술된 일부 구현예에 따라 증착된 몰리브덴 금속막은 약 20 옹스트롬 초과, 약 30 옹스트롬 초과, 약 40 옹스트롬 초과, 약 50 옹스트롬 초과, 약 60 옹스트롬 초과, 약 100 옹스트롬 초과, 약 250 옹스트롬 초과, 약 500 옹스트롬 초과의 두께를 가질 수 있다. 일부 구현예에서, 본원에 기재된 일부 구현예에 따라 증착된 몰리브덴 금속막은 약 250 옹스트롬 미만, 또는 약 100 옹스트롬 미만, 또는 약 50 옹스트롬 미만, 또는 약 25 옹스트롬 미만 약 10 옹스트롬 미만, 또는 심지어 약 5 옹스트롬 미만의 두께를 가질 수 있다. 일부 구현예에서, 유전체 표면 상에 직접 배치된 몰리브덴 금속막은 대략 100 옹스트롱 내지 250 옹스트롬의 두께를 가질 수 있다.
본 개시의 일부 구현예에서, 몰리브덴 금속막은 직접 유전체 표면 상에 증착될 수 있어서 몰리브덴 금속막은 결정질막을 포함할 수 있다. 예를 들어, 도 3은 알루미늄(Al2O3) 표면 상에 직접 증착된 150 옹스트롬 두께의 몰리브덴 금속막으로부터 얻어진 x선 회절(XRD) 데이터를 도시한다. 도 3의 XRD 데이터의 검사는 300으로 라벨링된 XRD 피크에 의해 표시된 바와 같이 몰리브덴 금속막의 결정질 성질을 명확하게 나타낸다. 일부 구현예에서, 몰리브덴 금속막은 단일 결정질막을 포함할 수 있다. 일부 구현예에서, 몰리브덴 금속막은 다결정질막을 포함할 수 있으며, 다결정질 몰리브덴 금속막을 포함하는 복수의 결정질 그레인은 100 옹스트롬 초과, 또는 200 옹스트롬 초과, 또는 심지어 250 옹스트롬 초과의 그레인 크기를 가질 수 있다. 일부 구현예에서, 몰리브덴 금속막은 체심 입방 결정질 구조를 포함할 수 있다.
본 개시의 일부 구현예에서, 몰리브덴 금속막은 수직 갭 피처 및/또는 수평 갭 피처를 포함하는 하나 이상의 고 종횡비 갭 피처를 가진 유전체 표면 상에 증착될 수 있다. 예를 들어, 도 4a는 수직 종횡비 갭 피처(404)를 갖는 유전체 재료(402)를 포함하는 반도체 소자 구조(400)를 도시하며, 종횡비(높이:폭)는 2:1 초과, 5:1 초과, 또는 10:1 초과, 또는 25:1 초과, 또는 50:1 초과, 또는 심지어 100:1 초과일 수 있으며, 여기 예에서 사용된 "초과"는 갭 피처의 높이에서 더 큰 거리를 지칭한다. 본원의 증착 방법의 개시는 몰리브덴 금속막(406)에 의해 도시된 바와 같이 수직 고 종횡비 갭 피처(404)의 표면 위에 직접 몰리브덴 금속막을 증착시키는 데 이용될 수 있다. 일부 구현예에서, 수직 고 종횡비 유전체 갭 피쳐 상에 직접적으로 몰리브덴 금속막의 스텝 커버리지는 약 50 % 이상, 또는 약 80 % 이상, 또는 약 90 % 이상, 또는 약 95 % 이상, 또는 약 98 % 이상, 또는 약 99 % 이상이다.
비제한적인 예로, 반도체 소자 구조(400)는 부분적으로 제조된 CMOS 로직 소자를 나타낼 수 있고, 유전체 재료(402)는 층간 유전체를 포함할 수 있으며 몰리브덴 금속막(406)은 하나 이상의 트랜지스터 구조(도시되지 않음)에 전기적 연결을 제공하는 금속 갭 필을 포함할 수 있다. 도 4a에 도시된 바와 같이, 몰리브덴 금속막(406)은 중간 장벽층 재료의 필요 없이 유전체 재료(402)와 직접 접촉함으로써, 반도체 소자 구조(400)의 전체 유효 전기 비저항을 감소시킨다.
일부 구현예에서, 몰리브덴 금속막은 갭 필 금속화로서 이용될 수 있고, 몰리브덴 금속막은 심의 형성없이 갭 피처, 즉 수직 고 종횡비 갭 피처를 충진할 수 있으며, 여기서 심은 갭 필 재료에 형성된 모서리의 접촉에 의해 형성된 선(line) 또는 하나 이상의 공극(void)을 지칭할 수 있으며, 심은 주사 투과 전자 현미경(STEM) 또는 투과 전자 현미경(TEM)을 사용하여 확인 될 수 있으며, 관찰 결과가 갭 충진 물질 내의 하나 이상의 수직 공극 또는 명백한 수직선이 드러나면, 심이 존재한다.
비제한적인 추가 예로, 도 4b는 하나 이상의 수평 고 종횡비 갭 피처(412)를 갖는 유전체 재료(410)를 포함하는 반도체 소자 구조(408)를 도시하며, 종횡비(높이:폭)는 1:2 초과, 1:5 초과, 또는 1:10 초과, 또는 1:25 초과, 또는 1:50 초과, 또는 심지어 1:100 초과일 수 있으며, 여기 예에서 사용된 "초과"는 갭 피처의 폭에서 더 큰 거리를 지칭한다. 본원의 증착 방법의 개시는 몰리브덴 금속막(414)에 의해 도시된 바와 같이 수평 고 종횡비 갭 피처(412)의 표면 위에 직접 몰리브덴 금속막을 증착시키는 데 이용될 수 있다. 일부 구현예에서, 수평 고 종횡비 유전체 피처 상에 직접적으로 몰리브덴 금속막의 스텝 커버리지는 약 50 % 이상, 또는 약 80 % 이상, 또는 약 90 % 이상, 또는 약 95 % 이상, 또는 약 98 % 이상, 또는 약 99 % 이상이다.
비제한적인 예시적 구현예로서, 반도체 소자 구조(408)는 부분적으로 제조된 메모리 소자의 일부를 나타낼 수 있으며, 여기서 유전체 재료(402)는 알루미늄옥사이드(Al2O3)를 포함할 수 있고, 몰리브덴 금속막(406)은 금속 게이트 구조를 포함할 수 있다.
수직 갭 필 공정과 마찬가지로, 몰리브덴 금속막은 전술한 바와 같이 심의 형성없이 수평 고 종횡비 피처를 위한 갭 필 금속화로서 이용될 수 있다.
본 개시의 일부 구현예에서, 유전체 표면 상에 직접 증착된 몰리브덴 금속막은 저 전기 비저항성 몰리브덴 금속막을 포함할 수 있다. 예를 들어, 일부 구현예에서 몰리브덴 금속막은 3000 μΩ-cm 미만, 또는 1000 μΩ-cm 미만, 또는 500 μΩ-cm 미만, 또는 200 μΩ-cm 미만, 또는 100 μΩ-cm 미만, 또는 50 μΩ-cm 미만, 또는 25 μΩ-cm 미만, 또는 15 μΩ-cm 미만 또는 심지어 10 μΩ-cm 미만의 전기 비저항을 가질 수 있다. 비제한적인 예로서, 몰리브덴 금속막은 유전체 재료의 표면 위에 대략 100 옹스트롬 미만의 두께로 직접 증착될 수 있고, 몰리브덴 금속막은 35 μΩ-cm 미만의 전기 비저항을 나타낼 수 있다. 또한 비제한적인 예로서, 몰리브덴 금속막은 유전체 재료의 표면 위에 200 옹스트롬 미만의 두께로 직접 증착될 수 있고, 몰리브덴 금속막은 25 μΩ-cm 미만의 전기 비저항을 나타낼 수 있다.
본 개시의 일부 구현예에서, 유전체 표면 상에 직접 몰리브덴 금속막을 증착하는 방법은 낮은 원자 백분율(원자 %)의 불순물을 갖는 몰리브덴 금속막을 증착하는 단계를 더 포함할 수 있다. 예를 들어, 본 개시의 몰리브덴 금속막은 5 원자 % 미만, 또는 2 원자 % 미만, 또는 심지어 1 원자 % 미만의 불순물 농도를 포함할 수 있다. 일부 구현예에서, 몰리브덴 금속막 내에 배치된 불순물은 적어도 산소 및 염소를 포함할 수 있다.
위에 설명된 본 개시의 예시적 구현예들은 본 발명의 구현예들의 예시일 뿐이기 때문에 이들 구현예들은 첨부된 청구범위 및 그의 법적 등가물에 의해 정의되는 본 발명의 범주를 제한하지 않는다. 임의의 등가적인 실시예들은 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 도시되고 기재된 것 외에도, 기재된 요소들의 선택적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (29)

  1. 주기적 증착 공정에 의해 기판의 유전체 재료 표면 상에 직접 몰리브덴 금속막을 증착하는 방법으로서,
    유전체 표면을 포함하는 기판을 반응 챔버에 제공하는 단계; 및
    상기 유전체 표면 상에 직접 몰리브덴 금속막을 증착하는 단계를 포함하되, 상기 증착하는 단계는
    상기 기판을 몰리브덴할라이드 전구체를 포함하는 제1 기상 반응물과 접촉시키는 단계; 및
    상기 기판을 환원제 전구체를 포함하는 제2 기상 반응물과 접촉시키는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 기판을 400℃ 내지 700℃의 기판 온도로 가열하는 단계를 더 포함하는 방법.
  3. 제1항에 있어서, 상기 기판을 500℃ 내지 600℃의 기판 온도로 가열하는 단계를 더 포함하는 방법.
  4. 제1항에 있어서, 증착 동안 상기 반응 챔버 내 압력을 30 Torr 초과로 조절하는 단계를 더 포함하는 방법.
  5. 제1항에 있어서, 상기 몰리브덴할라이드는 몰리브덴칼코지나이드할라이드를 포함하는 방법.
  6. 제5항에 있어서, 상기 몰리브덴칼코지나이드할라이드는 몰리브덴옥시클로라이드, 몰리브덴옥시요오드 또는 몰리브덴옥시브로마이드를 포함하는 군으로부터 선택된 몰리브덴옥시할라이드를 포함하는 방법.
  7. 제6항에 있어서, 상기 몰리브덴옥시클로라이드는 몰리브덴(IV)디클로라이드디옥사이드(MoO2Cl2)를 포함하는 방법.
  8. 제1항에 있어서, 상기 환원제 전구체는 분자 수소(H2), 원자 수소(H), 포밍 가스(H2+N2), 암모니아(NH3), 히드라진(N2H4), 히드라진 유도체, 수소 기반 플라즈마, 수소 래디컬, 수소 여기종, 알코올, 알데히드, 카르복실산, 보레인, 아민 또는 실란 중 적어도 하나를 포함하는 방법.
  9. 제1항에 있어서, 상기 몰리브덴할라이드는 몰리브덴클로라이드를 포함하는 방법.
  10. 제9항에 있어서, 상기 몰리브덴클로라이드는 몰리브덴펜타클로라이드(MoCl5)를 포함하는 방법.
  11. 제1항에 있어서, 상기 방법은 상기 기판이 상기 제1 기상 반응물 및 상기 제2 기상 반응물과 교대 순차적으로 접촉되는 적어도 1회의 증착 사이클을 포함하는 방법.
  12. 제11항에 있어서, 상기 증착 사이클은 1회 이상 반복되는 방법.
  13. 제11항에 있어서, 상기 몰리브덴 금속막을 증착하는 단계는 원자층 증착 공정을 포함하는 방법.
  14. 제1항에 있어서, 상기 몰리브덴 금속막을 증착하는 단계는 주기적 화학 기상 증착 공정을 포함하는 방법.
  15. 제14항에 있어서, 상기 주기적 화학 기상 증착 공정은 상기 기판을 상기 제1 기상 반응물과 주기적으로 접촉시키고, 상기 기판을 상기 제2 기상 반응물과 연속적으로 접촉시키는 단계를 포함하는 방법.
  16. 제1항에 있어서, 상기 몰리브덴 금속막은 100 옹스트롬 미만의 두께에서 35 μΩ-cm 미만의 전기 비저항을 가지는 방법.
  17. 제1항에 있어서, 상기 몰리브덴 금속막은 200 옹스트롬 미만의 두께에서 25 μΩ-cm 미만의 전기 비저항을 가지는 방법.
  18. 제1항에 있어서, 상기 몰리브덴 금속막은 결정질막인 방법.
  19. 제18항에 있어서, 상기 결정질 몰리브덴 금속막은 100 옹스트롬 초과의 그레인 사이즈를 가진 복수의 결정질 그레인을 가지는 방법.
  20. 제1항에 있어서, 상기 몰리브덴 금속막은 2 원자 % 미만의 불순물 농도를 가지는 방법.
  21. 제1항에 있어서, 상기 몰리브덴 금속막은 90 퍼센트(%) 초과의 스텝 커버리지로 증착되는 방법.
  22. 제1항의 방법에 따라 증착된 유전체 재료의 표면 상에 직접 배치된 몰리브덴 금속막을 포함하는 반도체 소자 구조.
  23. 유전체 재료의 표면을 포함하는 하나 이상의 갭 피처를 포함하는 기판; 및
    상기 하나 이상의 갭 피처 내에 배치되어 상기 하나 이상의 갭 피처를 충진하는 몰리브덴 금속막(상기 몰리브덴 금속막은 상기 유전체 재료의 표면과 직접 접촉하여 배치됨)을 포함하는 반도체 소자 구조.
  24. 제23항에 있어서, 상기 하나 이상의 갭 피처는 1:2 초과의 종횡비를 가지는 실질적으로 수평인 갭 피처를 포함하는 구조.
  25. 제23항에 있어서, 상기 하나 이상의 갭 피처는 2:1 초과의 종횡비를 가지는 실질적으로 수직인 갭 피처를 포함하는 구조.
  26. 제23항에 있어서, 상기 몰리브덴 금속막은 심(seam) 형성 없이 상기 하나 이상의 갭 피처를 충진하는 구조.
  27. 제23항에 있어서, 상기 몰리브덴 금속막은 200 옹스트롬 미만의 두께에서 25 μΩ-cm 미만의 전기 비저항을 가지는 구조.
  28. 제23항에 있어서, 상기 몰리브덴 금속막은 100 옹스트롬 초과의 그레인 사이즈를 가진 복수의 결정질 그레인을 포함하는 다결정질 몰리브덴 금속막을 포함하는 구조.
  29. 제23항에 있어서, 상기 몰리브덴 금속막은 2 원자 % 미만의 불순물 농도를 가지는 구조.
KR1020180102607A 2017-08-30 2018-08-30 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조 KR102553413B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US15/691,241 2017-08-30
US15/691,241 US11056344B2 (en) 2017-08-30 2017-08-30 Layer forming method
US201762607070P 2017-12-18 2017-12-18
US62/607,070 2017-12-18
US201862619579P 2018-01-19 2018-01-19
US62/619,579 2018-01-19
US16/105,745 2018-08-20
US16/105,745 US20190067003A1 (en) 2017-08-30 2018-08-20 Methods for depositing a molybdenum metal film on a dielectric surface of a substrate and related semiconductor device structures

Publications (2)

Publication Number Publication Date
KR20190024823A true KR20190024823A (ko) 2019-03-08
KR102553413B1 KR102553413B1 (ko) 2023-07-07

Family

ID=65437374

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180102607A KR102553413B1 (ko) 2017-08-30 2018-08-30 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조

Country Status (4)

Country Link
US (1) US20190067003A1 (ko)
KR (1) KR102553413B1 (ko)
CN (1) CN109423618A (ko)
TW (1) TW201921592A (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230009325A (ko) 2021-07-08 2023-01-17 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법
KR20230091807A (ko) 2021-12-16 2023-06-23 에스케이트리켐 주식회사 몰리브데넘 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 반도체 소자.
KR20230102100A (ko) 2021-12-30 2023-07-07 에스케이트리켐 주식회사 신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자.
KR20230102083A (ko) 2021-12-30 2023-07-07 에스케이트리켐 주식회사 신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자.
KR20240028029A (ko) 2022-08-24 2024-03-05 (주)덕산테코피아 고순도의 몰리브덴옥시할라이드를 연속적으로 제조하는 방법 및 그 시스템

Families Citing this family (268)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP2020047702A (ja) * 2018-09-18 2020-03-26 キオクシア株式会社 半導体装置およびその製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US20200131628A1 (en) * 2018-10-24 2020-04-30 Entegris, Inc. Method for forming molybdenum films on a substrate
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20210077797A (ko) * 2018-12-19 2021-06-25 엔테그리스, 아이엔씨. 환원성 공-반응물의 존재 하에 텅스텐 또는 몰리브데넘 층을 증착시키는 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
KR20200123380A (ko) * 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
CN114342062A (zh) * 2019-09-03 2022-04-12 朗姆研究公司 钼沉积
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7117336B2 (ja) 2020-01-30 2022-08-12 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11821080B2 (en) * 2020-03-05 2023-11-21 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Reagents to remove oxygen from metal oxyhalide precursors in thin film deposition processes
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024501844A (ja) * 2021-01-05 2024-01-16 ラム リサーチ コーポレーション フィーチャ内でのモリブデン堆積
US11434254B2 (en) 2021-01-12 2022-09-06 Applied Materials, Inc. Dinuclear molybdenum precursors for deposition of molybdenum-containing films
US11459347B2 (en) 2021-01-12 2022-10-04 Applied Materials, Inc. Molybdenum(IV) and molybdenum(III) precursors for deposition of molybdenum films
US11390638B1 (en) 2021-01-12 2022-07-19 Applied Materials, Inc. Molybdenum(VI) precursors for deposition of molybdenum films
US11854813B2 (en) 2021-02-24 2023-12-26 Applied Materials, Inc. Low temperature deposition of pure molybenum films
US11760768B2 (en) 2021-04-21 2023-09-19 Applied Materials, Inc. Molybdenum(0) precursors for deposition of molybdenum films
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023164413A1 (en) * 2022-02-24 2023-08-31 Lam Research Corporation Low resistance molybdenum deposition for logic source/drain contacts
US20230326744A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Field suppressed metal gapfill
US20240060175A1 (en) * 2022-08-19 2024-02-22 Applied Materials, Inc. Conformal molybdenum deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060223300A1 (en) * 2005-03-31 2006-10-05 Harsono Simka Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP2016098406A (ja) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
TW200427858A (en) * 2002-07-19 2004-12-16 Asml Us Inc Atomic layer deposition of high k dielectric films
JP6488284B2 (ja) * 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
US20180312966A1 (en) * 2015-10-23 2018-11-01 Applied Materials, Inc. Methods For Spatial Metal Atomic Layer Deposition
US10573522B2 (en) * 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060223300A1 (en) * 2005-03-31 2006-10-05 Harsono Simka Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
JP2016098406A (ja) * 2014-11-21 2016-05-30 東京エレクトロン株式会社 モリブデン膜の成膜方法
US20170062224A1 (en) * 2015-08-28 2017-03-02 Applied Materials, Inc. Methods of Depositing Metal Films Using Metal Oxyhalide Precursors

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20230009325A (ko) 2021-07-08 2023-01-17 주식회사 유피케미칼 몰리브데늄 전구체 화합물, 이의 제조방법, 및 이를 이용한 몰리브데늄-함유 박막의 증착 방법
KR20230091807A (ko) 2021-12-16 2023-06-23 에스케이트리켐 주식회사 몰리브데넘 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 반도체 소자.
KR20230102100A (ko) 2021-12-30 2023-07-07 에스케이트리켐 주식회사 신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자.
KR20230102083A (ko) 2021-12-30 2023-07-07 에스케이트리켐 주식회사 신규한 몰리브데넘 함유 전구체 및 이를 이용한 몰리브데넘 함유 박막의 형성 방법 및 상기 몰리브데넘 함유 박막을 포함하는 소자.
KR20240028029A (ko) 2022-08-24 2024-03-05 (주)덕산테코피아 고순도의 몰리브덴옥시할라이드를 연속적으로 제조하는 방법 및 그 시스템

Also Published As

Publication number Publication date
CN109423618A (zh) 2019-03-05
TW201921592A (zh) 2019-06-01
US20190067003A1 (en) 2019-02-28
KR102553413B1 (ko) 2023-07-07

Similar Documents

Publication Publication Date Title
KR102553413B1 (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
US11908736B2 (en) Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11898242B2 (en) Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US20210125832A1 (en) Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11398382B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US20200332416A1 (en) Layer forming method and apparatus
KR20200074008A (ko) 주기적 증착 공정에 의해 기판 상에 레늄 함유 막을 형성하는 방법 및 관련 반도체 소자 구조
JP2020029618A (ja) 周期的堆積プロセスによって基材の誘電体表面上にモリブデン金属膜を堆積させる方法および関連する半導体デバイス構造
CN111564361A (zh) 用于通过反应室中的循环沉积工艺在衬底上沉积铪镧氧化物膜的方法
KR20210024418A (ko) 기판 표면 위에 다결정질 몰리브덴 막을 형성하는 방법 및 이와 관련된 다결정 몰리브덴 막을 포함한 구조
JP7422971B2 (ja) 基材および関連する半導体デバイス構造の誘電体表面上にモリブデン金属膜を堆積する方法
TW202108815A (zh) 用於藉由循環沉積製程將氮化鉬膜沉積於基板表面上之方法及包括氮化鉬膜之相關半導體裝置結構
US20230295795A1 (en) Methods and systems for filling gap features on substrate surfaces
US20230160057A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
JP2020029617A (ja) 基材表面および関連する半導体デバイス構造上のギャップ特徴を充填するための方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant