KR20170073947A - 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 - Google Patents

탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 Download PDF

Info

Publication number
KR20170073947A
KR20170073947A KR1020150182788A KR20150182788A KR20170073947A KR 20170073947 A KR20170073947 A KR 20170073947A KR 1020150182788 A KR1020150182788 A KR 1020150182788A KR 20150182788 A KR20150182788 A KR 20150182788A KR 20170073947 A KR20170073947 A KR 20170073947A
Authority
KR
South Korea
Prior art keywords
tantalum
forming
film
substrate
compound
Prior art date
Application number
KR1020150182788A
Other languages
English (en)
Other versions
KR102627456B1 (ko
Inventor
류승민
타카노리 코이데
나오키 야마다
임재순
츠바사 시라토리
조윤정
Original Assignee
삼성전자주식회사
가부시키가이샤 아데카
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 가부시키가이샤 아데카 filed Critical 삼성전자주식회사
Priority to KR1020150182788A priority Critical patent/KR102627456B1/ko
Priority to US15/298,275 priority patent/US10134582B2/en
Priority to CN201610994787.7A priority patent/CN106977540B/zh
Priority to JP2016234316A priority patent/JP6908991B2/ja
Priority to TW105142135A priority patent/TWI718220B/zh
Publication of KR20170073947A publication Critical patent/KR20170073947A/ko
Priority to US16/183,927 priority patent/US10651031B2/en
Application granted granted Critical
Publication of KR102627456B1 publication Critical patent/KR102627456B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • C07F9/005Compounds of elements of Group 5 of the Periodic Table without metal-carbon linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Plasma & Fusion (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)

Abstract

다음 일반식 (I)의 탄탈럼 화합물과, 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법을 제공한다.
Figure pat00015

일반식 (I)에서,
R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬(alkyl), 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이고, R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다.

Description

탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 {Tantalum compound and methods of forming thin film and integrated circuit device}
본 발명의 기술적 사상은 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것으로, 특히 상온에서 액체인 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법에 관한 것이다.
전자 기술의 발달로 인해, 최근 반도체 소자의 다운-스케일링(down-scaling)이 급속도로 진행되고 있으며, 이에 따라 전자 소자를 구성하는 패턴들이 미세화되어 가고 있다. 이에 수반하여 탄탈럼을 함유하는 박막 형성시 원하지 않는 불순물이 포함되는 것을 억제할 수 있으며, 큰 아스펙트비를 가지는 좁고 깊은 공간 내에서도 우수한 매립 특성 및 우수한 스텝 커버리지(step coverage) 특성을 제공할 수 있고, 취급이 용이하여 공정 안정성 및 양산성 측면에서 유리한 박막 형성용 원료 화합물의 개발이 필요하다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제는 탄탈럼을 함유하는 박막을 형성하기 위한 원료 화합물로서, 탄탈럼을 함유하는 박막 형성시 원하지 않는 불순물이 포함되는 것을 억제할 수 있고, 우수한 열 안정성, 공정 안정성, 및 양산성을 제공할 수 있는 탄탈럼 화합물을 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 다른 기술적 과제는 탄탈럼을 함유하는 박막 형성시 원하지 않는 불순물이 포함되는 것을 억제할 수 있고, 우수한 공정 안정성 및 양산성을 제공할 수 있는 탄탈럼 화합물을 이용하여 품질이 우수한 탄탈럼 함유 박막을 형성하는 방법을 제공하는 것이다.
본 발명의 기술적 사상이 이루고자 하는 또 다른 기술적 과제는 탄탈럼을 함유하는 박막 형성시 원하지 않는 불순물이 포함되는 것을 억제할 수 있고, 우수한 공정 안정성 및 양산성을 제공할 수 있는 탄탈럼 화합물을 이용하여 품질이 우수한 탄탈럼 함유 박막을 형성함으로써 원하는 전기적 특성을 제공할 수 있는 집적회로 소자의 제조 방법을 제공하는 것이다.
본 발명의 기술적 사상에 의한 일 양태에 따른 탄탈럼 화합물은 다음 일반식 (I)로 표시된다.
Figure pat00001
일반식 (I)에서, R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬(alkyl), 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이고, R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다.
상기 탄탈럼 화합물은 상온에서 액체일 수 있다.
일부 실시예들에서, 일반식 1의 R1, R3, 및 R4 중 적어도 하나는 이소프로필기일 수 있다.
일부 실시예들에서, 일반식 1의 R2는 C1-C5의 직쇄 또는 분기형 알킬기일 수 있다.
일부 실시예들에서, 일반식 1의 R1, R3, 및 R4는 각각 독립적으로 C1-C5의 직쇄 또는 분기형 알킬기일 수 있다.
일부 실시예들에서, 일반식 1의 R1, R3, 및 R4는 각각 이소프로필기이고, R2는 메틸기일 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서는 일반식 (I)의 탄탈럼 화합물을 사용하여 기판 위에 탄탈럼 함유막을 형성하는 단계를 포함한다.
상기 탄탈럼 함유막을 형성하는 단계는 100 ~ 1000 ℃의 온도와, 10 Pa 내지 대기압의 압력으로 유지되는 챔버 내에 상기 탄탈럼 화합물을 공급하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 탄탈럼 함유막을 형성하는 단계는 상기 탄탈럼 화합물을 단독으로 상기 기판 위에 공급하는 단계를 포함할 수 있다.
다른 일부 실시예들에서, 상기 탄탈럼 함유막을 형성하는 단계는 탄탈럼과는 다른 금속을 포함하는 전구체 화합물, 반응성 가스, 및 유기 용제 중 적어도 하나와, 상기 탄탈럼 화합물과의 혼합물로 이루어지는 다성분 원료를 상기 기판 위에 공급하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkylamine), 트리알킬아민 (tri-alkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택될 수 있다.
다른 일부 실시예들에서, 상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 또는 이들의 조합 중에서 선택될 수 있다.
또 다른 일부 실시예들에서, 상기 반응성 가스는 H2 일 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 박막 형성 방법에서, 상기 탄탈럼 함유막을 형성하는 단계는 상기 탄탈럼 화합물을 포함하는 소스 가스를 기화시키는 단계와, 상기 기화된 소스 가스를 상기 기판 상에 공급하여 상기 기판 상에 Ta 소스 흡착층을 형성하는 단계와, 상기 Ta 소스 흡착층 위에 반응성 가스를 공급하는 단계를 포함할 수 있다.
일부 실시예들에서, 상기 탄탈럼 함유막은 탄탈럼 질화막일 수 있다. 다른 일부 실시예들에서, 상기 탄탈럼 함유막은 탄탈럼 산화막일 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법은 기판 상에 하부 구조물을 형성하는 단계와, 일반식 (I)의 탄탈럼 화합물을 사용하여 상기 하부 구조물 상에 탄탈럼 함유막을 형성하는 단계를 포함한다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 탄탈럼 화합물은 상온에서 액체일 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 하부 구조물을 형성하는 단계는 상기 기판의 일부를 식각하여 상기 기판으로부터 상부로 돌출되는 복수의 핀형 활성 영역을 형성하는 단계와, 상기 복수의 핀형 활성 영역 위에 고유전막을 형성하는 단계를 포함하고, 상기 탄탈럼 함유막을 형성하는 단계는 상기 복수의 핀형 활성 영역 위에서 상기 고유전막 위에 탄탈럼 질화막을 형성하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 탄탈럼 질화막을 형성하는 단계는 상기 고유전막 위에 상기 일반식 (I)의 탄탈럼 화합물과 질소 원자를 포함하는 반응성 가스를 공급하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법에서, 상기 탄탈럼 질화막을 형성하는 단계는 상기 고유전막 위에 상기 일반식 (I)의 탄탈럼 화합물을 공급하여 상기 고유전막 위에 탄탈럼 화합물 흡착층을 형성하는 단계와, 상기 탄탈럼 화합물 흡착층 상에 질소 원자를 포함하는 반응성 가스를 공급하여 상기 탄탈럼 화합물 흡착층과 상기 반응성 가스를 반응시키는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법은 상기 탄탈럼 질화막을 형성하는 단계 후, 상기 복수의 핀형 활성 영역 위에서 상기 탄탈럼 질화막 위에 금속 함유 게이트층을 형성하는 단계를 더 포함할 수 있다. 그리고, 상기 금속 함유 게이트층을 형성하는 단계는 상기 복수의 핀형 활성 영역 위에서 상기 탄탈럼 질화막 위에 탄탈럼과는 다른 금속을 포함하는 제1 금속 함유막을 형성하는 단계와, 상기 탄탈럼 질화막을 식각 정지층으로 이용하여, 상기 복수의 핀형 활성 영역 중 일부의 핀형 활성 영역 위에서 상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계와, 상기 탄탈럼 질화막의 노출 표면 및 상기 제1 금속 함유막의 상면을 세정하는 단계와, 상기 탄탈럼 질화막의 노출 표면 및 상기 제1 금속 함유막의 상면을 덮는 제2 금속 함유막을 형성하는 단계를 포함할 수 있다. 상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계에서는 H2O2를 포함하는 식각 용액을 이용하여 상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 일 양태에 따른 집적회로 소자의 제조 방법은 상기 기판 상에 하부 전극, 유전막, 및 상부 전극을 포함하는 커패시터를 형성하는 단계를 더 포함할 수 있다. 상기 하부 구조물을 형성하는 단계는 상기 기판 상에 상기 커패시터의 하부 전극을 형성하는 단계를 포함할 수 있다. 그리고, 상기 탄탈럼 함유막을 형성하는 단계는 상기 하부 전극의 표면을 덮는 탄탈럼 산화막을 형성하는 단계를 포함할 수 있다. 상기 하부 전극을 형성하는 단계는 상기 기판 상에 상기 기판의 도전 영역을 노출시키는 홀이 형성된 몰드 패턴을 형성하는 단계와, 상기 홀의 내벽을 따라 연장되는 측벽을 가지는 상기 하부 전극을 형성하는 단계를 포함하고, 상기 탄탈럼 산화막을 형성하는 단계는 상기 몰드 패턴을 제거하여 상기 하부 전극의 측벽을 노출시킨 후, 상기 하부 전극의 상기 노출된 측벽을 덮는 Ta2O5 막을 형성하는 단계를 포함할 수 있다. 상기 커패시터를 형성하는 단계는 상기 탄탈럼 함유막과, 탄탈럼과는 다른 금속을 포함하는 적어도 하나의 금속 산화막과의 조합으로 이루어지는 고유전막을 형성하는 단계를 포함할 수 있다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물은 증착 공정에 사용되기에 충분한 휘발성을 나타내며, 융점이 낮아 상온에서 액체 상태이므로 취급 및 수송이 용이하다. 또한, 본 발명의 기술적 사상에 의한 탄탈럼 화합물은 CVD (chemical vapor deposition) 공정 또는 ALD (atomic layer deposition) 공정을 이용하여 형성하고자 하는 박막 내에 탄소 잔사와 같은 원하지 않는 이물질이 잔류하는 현상이 억제되어 품질이 양호한 탄탈 함유 박막 형성용 원료로서 적합하게 사용될 수 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법을 설명하기 위한 플로차트이다.
도 2a 내지 도 2d는 각각 본 발명의 기술적 사상에 의한 박막 형성 공정에 사용될 수 있는 예시적인 증착 장치의 구성을 개략적으로 나타낸 도면이다.
도 3은 본 발명의 기술적 사상에 의한 실시예들에 따라 탄탈럼 함유막을 형성하기 위한 예시적인 방법을 설명하기 위한 플로차트이다.
도 4a 내지 도 4c는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자를 설명하기 위한 도면들로서, 도 4a는 FinFET 구조를 가지는 제1 트랜지스터 및 제2 트랜지스터를 포함하는 집적회로 소자의 주요 구성들을 도시한 사시도이고, 도 4b는 도 4a의 B1 - B1' 선 및 B2 - B2' 선 단면도이고, 도 4c는 도 4a의 C1 - C1' 선 및 C2 - C2' 선 단면도이다.
도 5a 내지 도 5h는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 6a 내지 도 6j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다.
도 7은 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 소자의 요부 구성을 보여주는 블록 다이어그램이다.
도 8은 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 TGA (Thermal Gravimetric Analysis) 분석 결과를 나타낸 그래프이다.
도 9는 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 다른 TGA 분석 결과를 나타낸 그래프이다.
도 10은 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 온도에 따른 점도 측정 결과를 나타낸 그래프이다.
도 11은 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 이용하여 형성한 탄탈럼 질화막의 증착 온도에 따른 증착 속도를 확인한 결과를 나타낸 그래프이다.
도 12는 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 이용하여 형성한 탄탈럼 질화막의 전구체 공급 시간에 따른 증착 속도를 확인한 결과를 나타낸 그래프이다.
도 13은 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 이용하여 형성한 탄탈럼 질화막의 농도 조성 분석을 위하여 XPS (X-ray Photoelectron Spectroscopy) 깊이 방향 원소 분석 (Depth Profile) 결과를 나타낸 그래프이다.
이하, 첨부 도면을 참조하여 본 발명의 실시예들을 상세히 설명한다. 도면상의 동일한 구성요소에 대해서는 동일한 참조부호를 사용하고, 이들에 대한 중복된 설명은 생략한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것으로, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역, 층들, 부위 및/또는 구성 요소들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들, 부위 및/또는 구성 요소들은 이들 용어에 의해 한정되어서는 안 됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열을 의미하지 않으며, 하나의 부재, 영역, 부위, 또는 구성 요소를 다른 부재, 영역, 부위 또는 구성 요소와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역, 부위 또는 구성 요소는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역, 부위 또는 구성 요소를 지칭할 수 있다. 예를 들어, 본 발명의 권리 범위로부터 이탈되지 않은 채 제1 구성 요소는 제2 구성 요소로 명명될 수 있고, 유사하게 제2 구성 요소도 제1 구성 요소로 명명될 수 있다.
달리 정의되지 않는 한, 여기에 사용되는 모든 용어들은 기술 용어와 과학 용어를 포함하여 본 발명 개념이 속하는 기술 분야에서 통상의 지식을 가진 자가 공통적으로 이해하고 있는 바와 동일한 의미를 지닌다. 또한, 통상적으로 사용되는, 사전에 정의된 바와 같은 용어들은 관련되는 기술의 맥락에서 이들이 의미하는 바와 일관되는 의미를 갖는 것으로 해석되어야 하며, 여기에 명시적으로 정의하지 않는 한 과도하게 형식적인 의미로 해석되어서는 아니 될 것임은 이해될 것이다.
어떤 실시예가 달리 구현 가능한 경우에 특정한 공정 순서는 설명되는 순서와 다르게 수행될 수도 있다. 예를 들어, 연속하여 설명되는 두 공정이 실질적으로 동시에 수행될 수도 있고, 설명되는 순서와 반대의 순서로 수행될 수도 있다.
첨부 도면에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예들은 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조 과정에서 초래되는 형상의 변화를 포함하여야 한다. 여기에 사용되는 모든 용어 "및/또는"은 언급된 구성 요소들의 각각 및 하나 이상의 모든 조합을 포함한다. 또한, 본 명세서에서 사용되는 용어 "기판"은 기판 그 자체, 또는 기판과 그 표면에 형성된 소정의 층 또는 막 등을 포함하는 적층 구조체를 의미할 수 있다. 또한, 본 명세서에서 "기판의 표면"이라 함은 기판 그 자체의 노출 표면, 또는 기판 위에 형성된 소정의 층 또는 막 등의 외측 표면을 의미할 수 있다.
본 명세서에서 사용되는 용어 "알킬기" 는 오로지 탄소 및 수소 원자만을 함유하는 포화 관능기를 지칭한다. 또한, 용어 "알킬기" 는 직쇄형, 분기형, 또는 고리형 알킬기를 지칭한다. 직쇄형 알킬기의 예로는 메틸기, 에틸기, 프로필기, 부틸기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다. 분기형 알킬기의 예로는 t-부틸을 포함할 수 있으나, 이에 한정되는 것은 아니다. 고리형 알킬기의 예로는 시클로프로필기, 시클로펜틸기, 시클로헥실기 등을 포함할 수 있으나, 이들에 한정되는 것은 아니다. 본 명세서에 사용된 용어 "Me"는 메틸기를 지칭하고, "Et"는 에틸기를 지칭하고, "Pr"은 프로필기를 지칭하고, "iPr"은 이소프로필기를 지칭하고, "tBu"는 제3 부틸기 (tertiary butyl group)를 지칭한다. 본 명세서에서 사용되는 용어 "상온"은 약 20 ∼ 28 ℃이며, 계절에 따라 다를 수 있다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물은 다음 일반식 (I)로 표시될 수 있다.
Figure pat00002
일반식 (I)에서,
R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬, 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다. R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이다.
일부 실시예들에서, R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬기일 수 있다. 예를 들면, R1, R3, 및 R4는 각각 독립적으로 C1-C5의 직쇄 또는 분기형 알킬기, 특히 C1-C4의 직쇄 또는 분기형 알킬기일 수 있다.
일부 실시예들에서, R2는 C1-C10의 직쇄 또는 분기형 알킬기일 수 있다. 예를 들면, R2는 C1-C5의 직쇄 또는 분기형 알킬기, 특히 C1-C3의 직쇄 또는 분기형 알킬기일 수 있다.
일부 실시예들에서, 일반식 (I)의 탄탈럼 화합물은 상온에서 액체일 수 있다.
일부 실시예들에서, R1, R2, R3, 및 R4는 각각 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 이소부틸, 제2 부틸 (secondary butyl), 제3 부틸 (tertiary butyl), 펜틸, 아밀, 이소아밀, 제2 아밀, 제3 아밀 등과 같은 직쇄 또는 분기형의 알킬기일 수 있다. 다른 일부 실시예들에서, R1, R2, R3, 및 R4 중 적어도 하나는 시클로펜틸 등과 같은 지환식 알킬기일 수 있다.
R1이 직쇄의 2급 알킬기인 경우는 탄탈럼 화합물의 안정성이 높아지고, 증기압이 높아질 수 있다. 상기 직쇄의 2급 알킬기로서는 이소프로필기, 제2 부틸기, 및 제2 아밀기를 들 수 있다. 예를 들면, 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 증기압을 높이기 위하여 R1으로서 이소프로필기 또는 제2 부틸기를 사용할 수 있다.
R2가 직쇄의 1급 알킬기인 경우는 탄탈럼 화합물의 안정성이 높아지고 증기압이 높아질 수 있다. 상기 직쇄의 1급 알킬기로서는 메틸기, 에틸기, 프로필기, 부틸기, 및 아밀기를 들 수 있다. 예를 들면, 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 증기압을 높이기 위하여 R2로서 메틸기 또는 에틸기를 사용할 수 있으며, 메틸기를 사용하는 경우에는 ALD (atomic layer deposition) 공정에 의한 탄탈럼 함유 박막 형성 공정을 통해 탄소 잔사가 매우 적은 탄탈럼 함유막이 얻어질 수 있다.
R3가 직쇄의 2급 알킬기인 경우는 탄탈럼 화합물의 안정성이 높아지고, 증기압이 높아질 수 있다. 상기 직쇄의 2급 알킬기로서는 이소프로필기, 제2 부틸기, 및 제2 아밀기를 들 수 있다. 예를 들면, 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 증기압을 높이기 위하여 R3로서 이소프로필기 또는 제2 부틸기를 사용할 수 있다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물의 구체적인 예를 들면, 다음의 화학식 1 내지 화학식 54로 표시될 수 있다.
Figure pat00003
Figure pat00004
Figure pat00005
Figure pat00006
Figure pat00007
본 발명의 기술적 사상에 의한 탄탈럼 화합물을 제조하는 방법은 특별히 제한되지 않으며, 주지의 반응들을 응용하여 제조될 수 있다. 예를 들면, 염화탄탈을 알킬 글리콜에테르 화합물과 촉매를 사용하여 반응시킨 후, 얻어진 결과물에 알킬 아민을 반응시키고, 다시 리튬알킬아미드 등을 반응시켜 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 얻을 수 있다.
도 1은 본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법을 설명하기 위한 플로차트이다.
도 1을 참조하면, 공정 P12에서 기판을 준비한다.
상기 기판은 도 4a 내지 도 4c를 참조하여 기판(510)에 대하여 후술하는 바와 같은 구성을 가질 수 있다.
도 1의 공정 P14에서, 일반식 (I)의 탄탈럼 화합물을 포함하는 박막 형성용 원료를 사용하여 기판 위에 탄탈럼 함유막을 형성한다.
일부 실시예들에서, 공정 P14에서 사용되는 박막 형성용 원료에 포함되는 탄탈럼 화합물은 상온에서 액체일 수 있다.
일부 실시예들에서, 공정 P14에서 사용되는 탄탈럼 화합물은 화학식 1 내지 화학식 54로 표시되는 탄탈럼 화합물 중 적어도 하나의 탄탈럼 화합물을 포함할 수 있다.
본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법에서, 상기 박막 형성용 원료는 상술한 바와 같은 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 포함한다. 상기 박막 형성용 원료는 형성하고자 하는 박막에 따라 다를 수 있다. 일 예에서, Ta 만을 포함하는 박막을 제조하는 경우, 상기 박막 형성용 원료는, 본 발명의 기술적 사상에 의한 탄탈럼 화합물 이외의 금속 화합물 및 반금속(半金屬) 화합물을 함유하지 않을 수 있다. 다른 예에서, 2 종류 이상의 금속 및/또는 반금속을 함유하는 박막을 제조하는 경우, 상기 박막 형성용 원료는 본 발명의 기술적 사상에 의한 탄탈럼 화합물에 더하여 원하는 금속을 함유하는 화합물, 또는 반금속을 포함하는 화합물 (이하, "다른 전구체"라 함)을 함유할 수 있다. 또 다른 예에서, 상기 박막 형성용 원료는 본 발명의 기술적 사상에 의한 탄탈럼 화합물 이외에, 유기 용제, 또는 친핵성 시약을 함유할 수 있다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물을 포함하는 박막 형성용 원료는 물성적으로 CVD (chemical vapor deposition) 공정 및 ALD 공정에 적합하게 사용될 수 있다.
상기 박막 형성용 원료가 CVD 공정에 사용하기 위한 원료인 경우, CVD 공정의 구체적인 방법, 원료 수송 방법 등에 따라 상기 박막 형성용 원료의 조성이 적절하게 선택될 수 있다.
상기 원료 수송 방법으로서 기체 수송법 및 액체 수송법이 있다. 상기 기체 수송법에서는 CVD용 원료를 해당 원료가 저장된 용기 (이하, "원료 용기"라 칭할 수 있음) 내에서 가열 혹은 감압에 의해 기화시켜 증기 상태로 만들고, 증기 상태의 원료를 필요에 따라 사용되는 아르곤, 질소, 헬륨 등과 같은 캐리어 가스 (carrier gas)와 함께 기판이 놓여진 챔버 내부 (이하, "퇴적 반응부"라 칭할 수 있음)로 도입한다. 상기 액체 수송법에서는 CVD 공정용 원료를 액체 또는 용액의 상태로 기화실까지 수송하고, 상기 기화실에서 가열 및/또는 감압에 의해 기화시켜 증기로 만든 후, 이 증기를 챔버 내부에 도입한다. 기체 수송법의 경우에는, 일반식 (I)의 화합물 그 자체를 CVD 원료로 사용할 수 있다. 상기 CVD 원료는 다른 전구체, 친핵성 시약 등을 더 포함할 수도 있다.
일부 실시예들에서, 본 발명의 기술적 사상에 의한 박막 형성 방법에서 탄탈럼 함유막을 형성하기 위하여 다성분계 CVD 공정을 이용할 수 있다. 다성분계 CVD 공정에 있어서, CVD 공정에 사용될 원료 화합물을 각 성분별로 독립적으로 기화시켜 공급하는 방법 (이하, "싱글 소스법 (single source method)"이라 기재할 수 있음), 또는 다성분 원료를 사전에 원하는 조성으로 혼합한 혼합 원료를 기화시켜 공급하는 방법 (이하, "칵테일 소스법 (cocktail source method)"이라 기재할 수 있음)을 이용할 수 있다. 칵테일 소스법을 이용하는 경우, 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 포함하는 제1 혼합물, 상기 제1 혼합물을 유기 용제에 용해시킨 제1 혼합 용액, 본 발명의 기술적 사상에 의한 탄탈럼 화합물과 다른 전구체를 포함하는 제2 혼합물, 또는 상기 제2 혼합물을 유기 용제에 용해시킨 제2 혼합 용액이 CVD 공정에서의 박막 형성용 원료 화합물로 사용될 될 수 있다. 상기 제1 및 제2 혼합물과 제1 및 제2 혼합 용액은 각각 친핵성 시약을 더 포함할 수도 있다.
상기 제1 혼합 용액 또는 제2 혼합 용액을 얻기 위하여 사용 가능한 유기 용제의 종류는 특히 제한되는 않으며, 당 기술 분야에서 알려진 유기 용제들을 사용할 수 있다. 예를 들면, 상기 유기 용제로서 에틸 아세테이트, 메톡시에틸 아세테이트 등과 같은 아세테이트 에스테르류; 테트라히드로퓨란 (tetrahydrofuran), 테트라히드로피란 (tetrahydropyran), 에틸렌 글리콜 디메틸 에테르 (ethylene glycol dimethyl ether), 디에틸렌 글리콜 디메틸 에테르 (diethylene glycol dimethyl ether), 트리에틸렌 글리콜 디메틸 에테르 (triethylene glycol dimethyl ether), 디부틸 에테르 (dibutyl ether), 디옥산 (dioxane) 등과 같은 에테르류; 메틸 부틸 케톤 (methyl butyl ketone), 메틸 이소부틸 케톤 (methyl isobutyl ketone), 에틸 부틸 케톤 (ethyl butyl ketone), 디프로필 케톤 (dipropyl ketone), 디이소부틸 케톤 (diisobutyl ketone), 메틸 아밀 케톤 (methyl amyl ketone), 시클로헥사논 (cyclohexanone), 메틸시클로헥사논 (methylcyclohexanone) 등과 같은 케톤류; 헥산 (hexane), 시클로헥산 (cylclohexane), 메틸시클로헥산 (methylcyclohexane), 디메틸시클로헥산 (dimethylcyclohexane), 에틸시클로헥산 (ethylcyclohexane), 헵탄 (heptane), 옥탄 (octane), 톨루엔 (toluene), 크실렌 (xylene) 등과 같은 탄화수소류; 1-시아노프로판 (1-cyanopropane), 1-시아노부탄 (1-cyanobutane), 1-시아노헥산 (1-cyanohexane), 시아노시클로헥산 (cyanocyclohexane), 시아노벤젠 (cyanobenzene), 1,3-디시아노프로판 (1,3-dicyanopropane), 1,4-디시아노부탄 (1,4-dicyanobutane), 1,6-디시아노헥산 (1,6-dicyanohexane), 1,4-디시아노시클로헥산 (1,4-dicyanocyclohexane), 1,4-디시아노벤젠 (1,4-dicyanobenzene) 등과 같은 시아노기를 가진 탄화수소류; 피리딘 (pyridine); 루티딘 (lutidine) 등을 사용할 수 있다. 상기 예시된 유기 용제들은 용질의 용해성, 사용 온도와 비점, 인화점의 관계 등에 의해 단독으로, 또는 적어도 2 종류의 혼합 용매로서 사용할 수 있다. 이들 유기 용제를 사용하는 경우, 해당 유기 용제 내에서 본 발명의 기술적 사상에 의한 탄탈럼 화합물 및 다른 전구체의 총량이 약 0.01 ∼ 2.0 mol/L, 예를 들면 약 0.05 ∼ 1.0 mol/L의 양으로 되도록 할 수 있다. 여기서, 탄탈럼 화합물 및 다른 전구체의 총량은 박막 형성용 원료가 본 발명의 기술적 사상에 의한 탄탈럼 화합물 이외의 금속 화합물 및 반금속 화합물을 포함하지 않는 경우, 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 양이며, 상기 박막 형성용 원료가 본 발명의 기술적 사상에 의한 탄탈럼 화합물 이외에 다른 금속을 포함하는 화합물 또는 반금속을 포함하는 화합물을 더 함유하는 경우는 본 발명의 기술적 사상에 의한 탄탈럼 화합물 및 다른 전구체의 합계량이다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 탄탈럼 함유막을 형성하기 위하여 다성분계 CVD 공정을 이용하는 경우, 본 발명의 기술적 사상에 의한 탄탈럼 화합물과 함께 사용될 수 있는 다른 전구체의 종류는 특히 제한되지 않으며, CVD 공정에서 원료 화합물로 사용 가능한 전구체들을 사용할 수 있다.
일부 실시예들에서, 본 발명의 기술적 사상에 의한 박막 형성 방법에서 사용될 수 있는 다른 전구체들의 예를 들면, 수소화물 (hydride), 수산화물 (hydroxide), 할로겐화물 (halide), 아자이드 (azide), 알킬 (alkyl), 알케닐 (alkenyl), 시클로알킬 (cycloalkyl), 알릴 (allyl), 알키닐 (alkynyl), 아미노 (amino), 디알킬아미노알킬 (dialkylaminoalkyl), 모노알킬아미노 (monoalkylamino), 디알킬아미노 (dialkylamino), 디아미노 (diamino), 디(실릴-알킬)아미노 (di(silyl-alkyl)amino), 디(알킬-실릴)아미노 (di(alkyl-silyl)amino), 디실릴아미노 (disilylamino), 알콕시 (alkoxy), 알콕시알킬 (alkoxyalkyl), 히드라지드 (hydrazide), 포스파이드 (phosphide), 니트릴 (nitrile), 디알킬아미노알콕시 (dialkylaminoalkoxy), 알콕시알킬디알킬아미노 (alkoxyalkyldialkylamino), 실록시 (siloxy), 디케토네이트 (diketonate), 시클로펜타디에닐 (cyclopentadienyl), 실릴 (silyl), 피라졸레이트 (pyrazolate), 구아니디네이트 (guanidinate), 포스포구아니디네이트 (phosphoguanidinate), 아미디네이트 (amidinate), 포스포아미디네이트 (phosphoamidinate), 케토이미네이트 (ketoiminate), 디케토이미네이트 (diketiminate), 카르보닐 (carbonyl), 및 포스포아미디네이트 (phosphoamidinate)를 리간드로 가지는 화합물들로부터 선택되는 1 종 또는 2 종 이상의 Si 또는 금속 화합물을 들 수 있다.
전구체에 포함되는 금속으로서, 마그네슘 (Mg), 칼슘 (Ca), 스트론튬 (Sr), 바륨 (Ba), 라듐 (Ra), 스칸듐 (Sc), 이트륨 (Y), 티타늄 (Ti), 지르코늄 (Zr), 하프늄 (Hf), 바나듐 (V), 니오브 (Nb), 크롬 (Cr), 몰리브덴 (Mo), 텅스텐 (W), 망간 (Mn), 철 (Fe), 오스뮴 (Os), 코발트 (Co), 로듐 (Rh), 이리듐 (Ir), 니켈 (Ni), 팔라듐 (Pd), 금 (Pt), 구리 (Cu), 은 (Ag), 금 (Au), 아연 (Zn), 카드뮴 (Cd), 알루미늄 (Al), 갈륨 (Ga), 인듐 (In), 게르마늄 (Ge), 주석 (Sn), 납 (Pb), 안티몬 (Sb), 비스무트 (Bi), 란타넘 (La), 세륨 (Ce), 프라세오디뮴 (Pr), 네오디뮴 (Nd), 프로메튬 (Pm), 사마륨 (Sm), 유로퓸 (Eu), 가돌리늄 (Gd), 테르븀 (Tb), 디스프로슘 (Dy), 홀뮴 (Ho), 에르븀 (Er), 툴륨 (Tm), 이테르븀 (Yb) 등이 사용될 수 있으나, 본 발명의 기술적 사상은 상기 예시된 금속들에 한정되는 것은 아니다.
일부 실시예들에서, 유기 리간드로서 알콜 화합물을 사용하는 경우에는 전술한 금속의 무기염 또는 그 수화물과, 해당 알콜 화합물의 알칼리 금속 알콕사이드를 반응시켜서 전구체를 제조할 수 있다. 여기서, 금속의 무기염 또는 그 수화물의 예로서 금속의 할로겐화물, 질산염 등을 들 수 있으며, 알칼리 금속 알콕사이드의 예로서 나트륨 알콕사이드, 리튬 알콕사이드, 칼륨 알콕사이드 등을 들 수 있다.
싱글 소스법의 경우는 상기 다른 전구체로서 열 및/또는 산화 분해의 거동이 본 발명의 기술적 사상에 의한 탄탈럼 화합물과 유사한 화합물을 사용할 수 있다. 또한, 상기 칵테일 소스법의 경우는 상기 다른 전구체로서 열 및/또는 산화 분해의 거동이 본 발명의 기술적 사상에 의한 탄탈럼 화합물과 유사하고, 혼합시에 화학 반응 등에 의한 변질을 일으키지 않는 것을 사용하는 것이 적합하다.
상기 다른 전구체들 중, Ti, Zr, 또는 Hf를 포함하는 전구체로는 다음의 식 (II-1) 내지 (II-5)로 표시되는 화합물들을 예로 들 수 있다.
Figure pat00009
상기 식 (II-1) 내지 (II-5)에서, M1은 Ti, Zr, 또는 Hf이다.
Ra 및 Rb는 각각 독립적으로 할로겐 원자로 치환될 수 있고, 고리 중에 산소 원자를 포함할 수 있는 C1-C20의 알킬기이다.
Rc는 C1-C8의 알킬기이다.
Rd는 C2-C18의 직쇄 또는 분기형 알킬렌기이다.
Re 및 Rf는 각각 독립적으로 수소 원자 또는, C1-C3의 알킬기이다.
Rg, Rh, Rj, 및 Rk는 각각 독립적으로 수소 원자 또는 C1-C4의 알킬기이다.
p는 0 내지 4의 정수이다.
q는 0 또는 2이다.
r은 0 내지 3의 정수이다.
s는 0 내지 4의 정수이다.
t는 1 내지 4의 정수이다.
일부 실시예들에서, 상기 식 (II-1) 내지 (II-5)에서, Ra 및 Rb는 각각 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 제2 부틸, 제3 부틸, 이소부틸, 아밀, 이소아밀, 제2 아밀, 제3 아밀, 헥실, 시클로헥실, 1-메틸시클로헥실, 헵틸, 3-헵틸, 이소헵틸, 제3 헵틸, n-옥틸, 이소옥틸, 제3 옥틸, 2-에틸헥실, 트리플루오로메틸, 퍼플루오로헥실, 2-메톡시에틸, 2-에톡시에틸, 2-부톡시에틸, 2-(2-메톡시에톡시)에틸, 1-메톡시-1,1-디메틸메틸, 2-메톡시-1,1-디메틸에틸, 2-에톡시-1,1-디메틸에틸, 2-이소프로폭시-1,1-디메틸에틸, 2-부톡시-1,1-디메틸에틸, 또는 2-(2-메톡시에톡시)-1,1-디메틸에틸기일 수 있다.
일부 실시예들에서, 상기 식 (II-1) 내지 (II-5)에서, Rc는 메틸, 에틸, 프로필, 이소프로필, 부틸, 제2 부틸, 제3 부틸, 이소부틸, 아밀, 이소아밀, 제2 아밀, 제3 아밀, 헥실, 1-에틸펜틸, 시클로헥실, 1-메틸시클로헥실, 헵틸, 이소헵틸, 제3 헵틸, n-옥틸, 이소옥틸, 제3 옥틸, 또는 2-에틸헥실기일 수 있다.
일부 실시예들에서, 상기 식 (II-1) 내지 (II-5)에서, Rd는 글리콜에 의해 얻어지는 기일 수 있다. 예를 들면, Rd는 1,2-에탄디올 (1,2-ethanediol), 1,2-프로판디올 (1,2-propanediol), 1,3-부탄디올 (1,3-butanediol), 2,4-헥산디올 (2,4-hexanediol), 2,2-디메틸-1,3-프로판디올 (2,2-dimethyl-1,3-propanediol), 2,2-디에틸-1,3-프로판디올 (2,2-diethyl-1,3-propanediol), 2,2-디에틸-1,3-부탄디올 (2,2-diethyl-1,3-butanediol), 2-에틸-2-부틸-1,3-프로판디올 (2-ethyl-2-butyl-1,3-propanediol), 2,4-펜탄디올 (2,4-pentanediol), 2-메틸-1,3-프로판디올 (2-methyl-1,3-propanediol), 또는 1-메틸-2,4-펜탄디올 (1-methyl-2,4-pentanediole) 기일수 있다.
일부 실시예들에서, 상기 식 (II-1) 내지 (II-5)에서, Re 및 Rf는 각각 독립적으로 메틸, 에틸, 프로필, 또는 2-프로필기일 수 있다.
일부 실시예들에서, 상기 식 (II-1) 내지 (II-5)에서, Rg, Rh, Rj, 및 Rk는 각각 독립적으로 메틸, 에틸, 프로필, 이소프로필, 부틸, 제2 부틸, 제3 부틸, 또는 이소부틸기일 수 있다.
보다 구체적인 예를 들면, Ti를 포함하는 전구체로서, 테트라키스 (에톡시) 티타늄, 테트라키스 (2-프로폭시) 티타늄, 테트라키스 (부톡시) 티타늄, 테트라키스 (제2 부톡시) 티타늄, 테트라키스 (이소부톡시) 티타늄, 테트라키스 (3-부톡시) 티타늄, 테트라키스 (제3 아밀) 티타늄, 테트라키스 (1-메톡시-2-메틸-2-프로폭시) 티타늄 등과 같은 테트라키스 알콕시티타늄류; 테트라키스 (펜탄-2,4-디오네이트) 티타늄, (2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 테트라키스 (2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, 등의 테트라키스 β-디케토네이토티타늄류; 비스(메톡시) 비스(펜탄-2,4-디오네이트) 티타늄, 비스(에톡시) 비스(펜탄-2,4-디오네이트) 티타늄, 비스(제3 부톡시) 비스(펜탄-2,4-디오네이트) 티타늄, 비스(메톡시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 비스(에톡시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 비스(2-프로폭시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 비스(제3 부톡시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 비스(제3 아밀옥시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄, 비스(메톡시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, 비스(에톡시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, 비스(2-프로폭시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, 비스(3-부톡시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, 비스(제3 아밀옥시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄 등의 비스(알콕시) 비스(β 디케토네이토) 티타늄류; (2-메틸펜탄디히드록시) 비스(2,2,6,6-테트라메틸헵탄-3,5-디오네이트) 티타늄, (2-메틸펜탄디히드록시) 비스(2,6-디메틸헵탄-3,5-디오네이트) 티타늄 등의 글리콕시 비스(β 디케토네이토)티타늄류; (메틸시클로펜타디에닐) 트리스(디메틸아미노) 티타늄, (에틸시클로펜타디에닐) 트리스(디메틸아미노) 티타늄, (시클로펜타디에닐) 트리스(디메틸아미노) 티타늄, (메틸시클로펜타디에닐) 트리스(에틸메틸아미노) 티타늄, (에틸시클로펜타디에닐) 트리스(에틸메틸아미노) 티타늄, (시클로펜타디에닐) 트리스(에틸메틸아미노) 티타늄, (메틸시클로펜타디에닐) 트리스(디에틸아미노) 티타늄, (에틸시클로펜타디에닐) 트리스(디에틸아미노) 티타늄, (시클로펜타디에닐) 트리스(디에틸아미노) 티타늄 등의 (시클로펜타디에닐) 트리스(디알킬아미노) 티타늄류; (시클로펜타디에닐) 트리스(메톡시) 티타늄, (메틸시클로펜타디에닐) 트리스(메톡시) 티타늄, (에틸시클로펜타디에닐) 트리스(메톡시) 티타늄, (프로필시클로펜타디에닐) 트리스(메톡시) 티타늄, (이소프로필시클로펜타디에닐) 트리스(메톡시) 티타늄, (부틸시클로펜타디에닐) 트리스(메톡시) 티타늄, (이소부틸시클로펜타디에닐) 트리스(메톡시) 티타늄, (제3부틸시클로펜타디에닐) 트리스(메톡시) 티타늄, (펜타메틸시클로펜타디에닐) 트리스(메톡시) 티타늄 등의 (시클로펜타디에닐) 트리스(알콕시) 티타늄류 등이 있다.
Zr을 포함하는 전구체 및 Hf를 포함하는 전구체로는, 위에서 예시한 Ti를 포함하는 전구체로서 예시한 화합물들에 속하는 티타늄을 지르코늄 또는 하프늄으로 치환한 화합물들이 있다.
희토류 원소를 포함하는 전구체로는 식 (III-1) 내지 (III-3)으로 표시되는 화합물들을 예로 들 수 있다.
Figure pat00010
상기 식 (III-1) 내지 (III-3)에서, M2는 희토류 원자이다.
Ra 및 Rb는 각각 독립적으로 할로겐 원자로 치환될 수 있고, 고리 중에 산소 원자를 포함할 수 있는 C1-C20의 알킬기이다.
Rc는 C1-C8의 알킬기이다.
Re 및 Rf는 각각 독립적으로 수소 원자 또는, C1-C3의 알킬기이다.
Rg 및 Rj는 각각 독립적으로 C1-C4의 알킬기이다.
p'은 0 내지 3의 정수이다.
r'은 0 내지 2의 정수이다.
상기 식 (III-1) 내지 (III-3)에 나타낸 희토류 원소를 포함하는 전구체에 있어서, M2로 표시된 희토류 원자는 스칸듐 (Sc), 이트륨 (Y), 란타넘 (La), 세륨 (Ce), 프라세오디뮴 (Pr), 네오디뮴 (Nd), 프로메튬 (Pm), 사마륨 (Sm), 유로퓸 (Eu), 가돌리늄 (Gd), 테르븀 (Tb), 디스프로슘 (Dy), 홀뮴 (Ho), 에르븀 (Er), 툴륨 (Tm), 또는 이테르븀 (Yb)일 수 있다. 상기 식 (III-1) 내지 (III-3)에서, Ra, Rb, Rc, Re, Rf, Rg, 및 Rj는 각각 상기 식 (II-1) 내지 (II-5)에 대하여 설명한 바와 같다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서, 박막 형성용 원료는 본 발명의 기술적 사상에 의한 탄탈럼 화합물 및 다른 전구체의 안정성을 부여하기 위하여 친핵성 시약을 함유할 수 있다. 본 발명의 기술적 사상에 의한 실시예들에서, 박막 형성용 원료에 포함 가능한 친핵성 시약은 글라임 (glyme), 디글라임 (diglyme), 트리글라임 (triglyme), 테트라글라임 (tetraglyme) 등의 에틸렌 글리콜 에테르류; 18-크라운-6, 디시클로헥실-18-크라운-6,24-크라운-8, 디시클로헥실-24-크라운-8, 디벤조-24-크라운-8 등의 크라운 에테르류; 에틸렌디아민, N,N'-테트라메틸에틸렌디아민, 디에틸렌트리아민, 트리에틸렌테트라민, 테트라에틸렌펜타민, 펜타에틸렌헥사민, 1,1,4,7,7-펜타메틸디에틸렌트리아민, 1,1,4,7,10,10-헥사메틸트리에틸렌테트라민, 트리에톡시트리에틸렌아민 등의 폴리아민(polyamine)류; 사이클람 (cyclam), 사이클렌 (cyclen) 등의 환형 폴리아민류; 피리딘, 피롤리딘, 피페리딘, 모르폴린, N-메틸피롤리딘, N-메틸피페리딘, N-메틸모르폴린, 테트라히드로푸란, 테트라히드로피란, 1,4-디옥산, 옥사졸 (oxazole), 티아졸 (thiazole), 옥사티올란 (oxathiolane) 등의 헤테로 고리 화합물류; 아세토아세트산메틸 (acetoacetic acid methyl), 아세토아세트산에틸 (acetoacetic acid ethyl), 아세토아세트산-2-메톡시에틸 (acetoacetic acid-2-methoxyethyl) 등의 β-케토 에스테르 (β-keto ester)류; 또는 아세틸아세톤, 2,4-헥산디온, 2,4-헵탄디온, 3,5-헵탄디온, 디피발로일 메탄 (dipivaloyl methane) 등의 β-디케톤류를 들 수 있다.
상기 친핵성 시약의 사용량은 전구체 총량 1 몰에 대하여 약 0.1 ∼ 10 몰의 범위, 예를 들면, 약 1 ∼ 4 몰의 범위로 사용될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 사용되는 박막 형성용 원료 내에서 불순물 금속 원소, 불순물 염소 등과 같은 불순물 할로겐, 및 불순물 유기물 등의 양을 최대한 억제할 필요가 있다. 예를 들면, 박막 형성용 원료 내에서 불순물 금속 원소는 각 원소별로 약 100 ppb 이하로 포함되도록 할 수 있다. 예를 들면, 상기 박막 형성용 원료는 각 원소별로 약 10 ppb 이하의 불순물 금속 원소를 포함할 수 있으며, 불순물 금속의 총량은 약 1 ppm 이하, 예를 들면, 약 100 ppb 이하일 수 있다. 특히, LSI (large scale integrated circuit)의 게이트 절연막, 게이트 도전막, 또는 배리어막으로서 사용되는 박막을 형성하는 경우, 얻어지는 박막의 전기적 특성에 영향을 미치는 알칼리 금속 원소 및 알칼리토류 금속 원소의 함유량은 최대한 적게 할 수 있다. 예를 들면, 상기 박막 형성용 원료 내에서 불순물 할로겐의 양은 약 100 ppm 이하, 특히 약 10 ppm 이하일 수 있다.
상기 박막 형성용 원료 내에 포함될 수 있는 불순물 유기 성분은 상기 불순물 유기 성분 총량으로 약 500 ppm 이하, 예를 들면 약 50 ppm 이하로 포함될 수 있으며, 특히 약 10 ppm 이하로 포함되도록 할 수 있다.
상기 박막 형성용 원료 내에 수분이 포함되면 CVD용 원료 내에서 파티클이 발생하거나, 박막 형성 중에 파티클이 발생하는 원인이 될 수 있다. 따라서, 금속 화합물, 유기 용제 및 친핵성 시약은 사용하기 전에 미리 수분을 제거할 수 있다. 금속 화합물, 유기 용제 및 친핵성 시약 각각의 수분의 양은 약 10 ppm 이하, 예를 들면 약 1 ppm 이하일 수 있다.
형성하고자 하는 박막 내의 파티클 오염을 줄이기 위하여, 상기 박막 형성용 원료 내에서 파티클 함량을 최소화할 수 있다. 예를 들면, 액상에서의 광산란식 액중 입자 검출기 (light scattering type particle detector)에 의한 파티클 측정 시, 상기 박막 형성용 원료 내에서 0.3 μm보다 더 큰 입자의 수가 액상 1 ml 중에 100 개 이하이고, 0.2 μm 보다 더 큰 입자의 수가 액상 1 ml 중에 1000 개 이하, 예를 들면 100 개 이하일 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서 박막 형성용 원료를 사용하여 박막을 제조하기 위하여, 박막 형성용 원료를 기화시킨 증기, 및 필요에 따라 반응성 가스를 기판이 놓여 있는 챔버 내에 도입하여 전구체를 기판 위에서 분해 및 화학 반응시켜 탄탈럼을 함유하는 박막을 상기 기판 상에 성장, 퇴적시키는 CVD 공정을 수행할 수 있다. 여기서, 박막 형성용 원료의 공급 방법, 퇴적 방법, 제조 조건, 제조 장치 등에 대하여 특별한 제한은 없으며, 주지의 일반적인 조건 및 방법이 이용될 수 있다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물은 집적회로 소자 제조에 필요한 박막 형성 공정에 유용하게 사용될 수 있으며, 예를 들면 CVD 공정 또는 ALD 공정에 필요한 Ta 전구체로서 사용될 수 있다.
도 2a 내지 도 2d는 각각 본 발명의 기술적 사상에 의한 박막 형성 공정에 사용될 수 있는 예시적인 증착 장치(200A, 200B, 200C, 200D)의 구성을 개략적으로 나타낸 도면이다.
도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)는 각각 유체 전달부(210)와, 상기 유체 전달부(210)에 있는 원료 용기(212)로부터 공급되는 공정 가스를 사용하여 기판(W)상에 박막을 형성하기 위한 퇴적 공정이 수행되는 박막 형성부(250)와, 상기 박막 형성부(250)에서 반응에 사용되고 남은 가스 또는 반응 부산물들을 배출시키기 위한 배기 시스템(270)을 포함한다.
상기 박막 형성부(250)는 상기 기판(W)을 지지하는 서셉터(252)가 구비된 반응 챔버(254)를 포함한다. 상기 반응 챔버(254) 내부의 상단부에는 유체 전달부(210)로부터 공급되는 가스를 기판(W) 상에 공급하기 위한 샤워 헤드(256)가 설치되어 있다.
상기 유체 전달부(210)에는 외부로부터 캐리어 가스를 상기 원료 용기(212)로 공급하기 위한 유입 라인(222)과, 상기 원료 용기(212) 내에 수용된 원료 화합물을 박막 형성부(250)에 공급하기 위한 유출 라인(224)을 포함한다. 상기 유입 라인(222) 및 유출 라인(224)에는 각각 밸브(V1, V2) 및 MFC (mass flow controller)(M1, M2)가 설치될 수 있다. 상기 유입 라인(222) 및 유출 라인(224)은 바이패스 라인(226)을 통해 상호 연결될 수 있다. 상기 바이패스 라인(226)에는 밸브(V3)가 설치되어 있다. 상기 밸브(V3)는 전기 모터 또는 다른 원격으로 제어 가능한 수단에 의해 공기압으로 작동될 수 있다.
상기 원료 용기(212)로부터 공급되는 원료 화합물은 유체 전달부(210)의 유출 라인(224)에 연결된 박막 형성부(250)의 유입 라인(266)을 통해 반응 챔버(254) 내로 공급될 수 있다. 필요에 따라, 상기 원료 용기(212)로부터 공급되는 원료 화합물은 유입 라인(268)을 통해 공급되는 캐리어 가스와 함께 반응 챔버(254) 내로 공급될 수 있다. 캐리어 가스가 유입되는 상기 유입 라인(268)에는 밸브(V4) 및 MFC(M3)가 설치될 수 있다.
상기 박막 형성부(250)는 상기 반응 챔버(254) 내부로 퍼지 가스를 공급하기 위한 유입 라인(262)과, 반응성 가스를 공급하기 위한 유입 라인(264)을 포함한다. 상기 유입 라인(262, 264)에는 각각 밸브(V5, V6) 및 MFC(M4, M5)가 설치될 수 있다.
상기 반응 챔버(254)에서 사용된 공정 가스 및 폐기용 반응 부산물들은 배기 시스템(270)을 통해 외부로 배출될 수 있다. 상기 배기 시스템(270)은 반응 챔버(254)에 연결된 배기 라인(272)과, 상기 배기 라인(272)에 설치된 진공 펌프(274)를 포함할 수 있다. 상기 진공 펌프(274)는 반응 챔버(254)로부터 배출되는 공정 가스 및 폐기용 반응 부산물들을 제거하는 역할을 할 수 있다.
상기 배기 라인(272)에서 상기 진공 펌프(274)보다 상류측에는 트랩(276)이 설치될 수 있다. 상기 트랩(276)은 예를 들면 반응 챔버(254) 내에서 완전히 반응하지 못한 공정 가스에 의해 발생하는 반응 부산물들을 포착하여, 하류측의 진공 펌프(274)에 유입되지 않도록 할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에서는 일반식 (I)의 구조를 가지는 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 원료 화합물로 사용한다. 특히, 본 발명의 기술적 사상에 의한 탄탈럼 화합물은 상온에서 액체 상태로 존재할 수 있으며, 다른 처리 가스, 예를 들면 환원성 가스 또는 산화성 가스와 같은 반응성 가스와 반응하기 쉬운 특성을 가진다. 따라서, 상기 배기 라인(272)에 설치된 트랩(276)에서는 공정 가스들간의 반응에 의해 발생하는 반응 부생성물과 같은 부착물을 포착하여 트랩(276)의 하류 측으로 흐르지 않도록 하는 역할을 할 수 있다. 상기 트랩(276)은 냉각기 또는 수냉에 의해 냉각될 수 있는 구성을 가질 수 있다.
또한, 배기 라인(272)에서 트랩(276)보다 상류측에는 바이패스 라인(278) 및 자동 압력 콘트롤러 (automatic pressure controller)(280)가 설치될 수 있다. 상기 바이패스 라인(278)과, 상기 배기 라인(272) 중 상기 바이패스 라인(278)과 병렬로 연장되는 부분에는 각각 밸브(V7, V8)가 설치될 수 있다.
도 2a 및 도 2c에 예시한 증착 장치(200A, 200C)에서와 같이, 원료 용기(212)에는 히터(214)가 설치될 수 있다. 상기 히터(214)에 의해 상기 원료 용기(212) 내에 수용된 원료 화합물의 온도를 비교적 고온으로 유지할 수 있다.
도 2b 및 도 2d에 예시한 증착 장치(200B, 200D)에서와 같이, 박막 형성부(250)의 유입 라인(266)에는 기화기(vaporizer)(258)가 설치될 수 있다. 상기 기화기(258)는 유체 전달부(210)로부터 액체 상태로 공급되는 유체를 기화시키고 기화된 원료 화합물을 반응 챔버(254) 내로 공급할 수 있도록 한다. 상기 기화기(258)에서 기화된 원료 화합물은 상기 유입 라인(268)을 통해 공급되는 캐리어 가스와 함께 반응 챔버(254) 내로 공급될 수 있다. 상기 기화기(258)를 통해 반응 챔버(254)로 공급되는 원료 화합물의 유입은 밸브(V9)에 의해 제어될 수 있다.
또한, 도 2c 및 도 2d에 예시한 증착 장치(200C, 200D)에서와 같이, 박막 형성부(250)에서 반응 챔버(254) 내에 플라즈마를 발생시키기 위하여 상기 반응 챔버(254)에 연결된 고주파 전원(292) 및 RF 매칭 시스템(294)을 포함할 수 있다.
도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)에서는 반응 챔버(254)에 1 개의 원료 용기(212)가 연결된 구성을 예시하였으나, 이에 한정되는 것은 아니다. 필요에 따라 유체 전달부(210)에 복수의 원료 용기(212)를 구비할 수 있으며, 상기 복수의 원료 용기(212)가 각각 반응 챔버(254)에 연결될 수 있다. 상기 반응 챔버(254)에 연결되는 원료 용기(212)의 수는 특별히 제한되지 않는다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 기판(W) 상에 탄탈럼 함유막을 형성하기 위하여, 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치를 이용할 수 있으나, 본 발명의 기술적 사상은 이에 한정되는 것은 아니다.
도 1의 공정 P14에 따라 기판상에 탄탈럼 함유막을 형성하기 위하여, 일반식 (I)의 구조를 가지는 탄탈럼 화합물이 다양한 방법을 통해 운송되어 박막 형성 장치의 반응 챔버, 예를 들면 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)의 반응 챔버(254) 내부로 공급될 수 있다.
일부 실시예들에서, 일반식 (I)의 구조를 가지는 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 사용하여 CVD 공정에 의해 박막을 형성하기 위하여, 상기 탄탈럼 화합물을 원료 용기(212) 내에서 가열 및/또는 감압하여 기화시키고, 이와 같이 기화된 탄탈럼 화합물을 필요에 따라 Ar, N2, He 등과 같은 캐리어 가스와 함께 반응 챔버(254) 내로 공급하는 기체 운송법을 이용할 수 있다. 기체 운송법을 이용하는 경우, 본 발명의 기술적 사상에 의한 탄탈럼 화합물 자체가 CVD 공정에서의 박막 형성용 원료 화합물로 사용될 수 있다.
다른 일부 실시예들에서, 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 사용하여 CVD 공정에 의해 박막을 형성하기 위하여, 상기 탄탈럼 화합물을 액체 또는 용액 상태로 기화기(258)까지 운송하고, 상기 탄탈럼 화합물을 기화기(258)에서 가열 및/또는 감압하여 기화시킨 후 반응 챔버(254) 내로 공급하는 액체 운송법을 이용할 수 있다. 액체 운송법을 이용하는 경우, 본 발명의 기술적 사상에 의한 탄탈럼 화합물 자체, 또는 상기 탄탈럼 화합물을 유기 용제에 용해시킨 용액을 CVD 공정에서의 박막 형성용 원료 화합물로 사용할 수 있다.
본 발명의 기술적 사상에 의한 일부 실시예들에 따른 박막 형성 방법에서는 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 사용하여, 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D) 중 어느 하나의 증착 장치 내에서 탄탈럼 함유막을 형성할 수 있다. 이를 위하여, 예를 들면, 도 1의 공정 P14에 따른 탄탈럼 형성 공정에서, 탄탈럼 함유막을 형성하기 위하여, 약 100 ∼ 1000 ℃의 온도와, 약 10 Pa 내지 대기압의 압력으로 유지되는 반응 챔버(254) 내에 상기 탄탈럼 화합물을 공급할 수 있다. 일부 실시예들에서, 상기 탄탈럼 화합물이 단독으로 기판(W) 위에 공급될 수 있다. 다른 일부 실시예들에서, 상기 탄탈럼 함유막을 형성하기 위하여 탄탈럼과는 다른 금속을 포함하는 전구체 화합물, 반응성 가스, 및 유기 용제 중 적어도 하나와, 상기 탄탈럼 화합물과의 혼합물로 이루어지는 다성분 원료를 기판(W) 위에 공급할 수 있다.
일부 실시예들에서, 탄탈럼 질화막을 형성하는 경우, 상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkylamine), 트리알킬아민 (tri-alkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택될 수 있다.
다른 일부 실시예들에서, 탄탈럼 산화막을 형성하는 경우, 상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 또는 이들의 조합 중에서 선택되는 산화성 가스일 수 있다.
또 다른 일부 실시예들에서, 상기 반응성 가스는 환원성 가스, 예를 들면 H2 일 수 있다.
상기 탄탈럼 화합물을 단독으로 이송하거나 상기 탄탈럼 화합물을 포함하는 혼합물로 이루어지는 다성분 원료를 이송하기 위하여, 전술한 바와 같은 기체 수송법, 액체 수송법, 싱글 소스법, 칵테일 소스법 등을 이용할 수 있다.
일부 실시예들에서, 탄탈럼 함유막을 형성하기 위하여, 탄탈럼 화합물을 포함하는 원료 가스, 또는 상기 원료 가스와 반응성 가스를 열 만으로 반응시켜 박막을 형성하는 열 CVD 공정, 열 및 플라즈마를 이용하는 플라즈마 CVD 공정, 열 및 빛을 이용하는 광 CVD 공정, 열, 빛, 그리고 플라즈마를 이용하는 광 플라즈마 CVD 공정, CVD의 퇴적 반응을 기본 과정으로 나누어, 분자 레벨에서 단계적으로 퇴적하는 ALD 공정을 이용할 수 있다.
본 발명의 기술적 사상에 의한 실시예들에 따른 박막 형성 방법에서, 박막을 형성하기 위한 기판 (예를 들면 도 2a 내지 도 2d에 예시한 기판(W))은 실리콘 기판; SiN, TiN, TaN, TiO, TiN, RuO, ZrO, HfO, LaO 등의 세라믹스 기판; 유리 기판; 루테늄 등의 금속 기판 등으로 이루어질 수 있으며, 상기 기판은 판형, 구형, 섬유형 등일 수 있다. 또한, 상기 기판의 표면은 평면, 또는 트렌치 구조 등과 같은 3 차원 구조를 가질 수도 있다.
도 3은 본 발명의 기술적 사상에 의한 실시예들에 따라 탄탈럼 함유막을 형성하기 위한 예시적인 방법을 구체적으로 설명하기 위한 플로차트이다. 도 3을 참조하여, 도 1의 공정 P14에 따라 탄탈럼 함유막을 ALD 공정으로 형성하는 방법을 설명한다.
도 3을 참조하면, 공정 P32에서 탄탈럼 화합물을 포함하는 소스 가스를 기화시킨다. 상기 탄탈럼 화합물은 일반식 (I)의 구조를 가지는 탄탈럼 화합물로 이루어질 수 있다.
공정 P33에서, 공정 P32에 따라 기화된 소스 가스를 기판 상에 공급하여 상기 기판 상에 Ta 소스 흡착층을 형성한다.
상기 기판 상에 기화된 소스 가스를 공급함으로써 상기 기판 상에 상기 기화된 소스 가스의 화학흡착층(chemisorbed layer) 및 물리흡착층(physisorbed layer)을 포함하는 흡착층이 형성될 수 있다.
공정 P34에서, 상기 기판 상에 퍼지(purge) 가스를 공급하여 상기 기판 상의 불필요한 부산물을 제거한다.
상기 퍼지 가스로서 예를 들면 Ar, He, Ne 등의 불활성 가스 또는 N2 가스 등을 사용할 수 있다.
일부 실시예에서, 상기 Ta 소스 흡착층이 형성된 기판을 가열하거나 상기 기판이 수용된 반응 챔버를 열처리하는 공정을 더 수행할 수 있다. 상기 열처리는 실온 내지 약 400 ℃, 예를 들면 약 150 ∼ 400 ℃의 온도로 수행될 수 있다.
공정 P35에서, 기판 상에 형성된 상기 Ta 소스 흡착층 위에 반응성 가스를 공급한다.
일부 실시예들에서, 탄탈럼 질화막을 형성하는 경우, 상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkylamine), 트리알킬아민 (tri-alkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택될 수 있다.
다른 일부 실시예들에서, 탄탈럼 산화막을 형성하는 경우, 상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 또는 이들의 조합 중에서 선택되는 산화성 가스일 수 있다.
또 다른 일부 실시예들에서, 상기 반응성 가스는 환원성 가스, 예를 들면 H2 일 수 있다.
공정 P36에서, 상기 기판 상에 퍼지 가스를 공급하여 상기 기판 상의 불필요한 부산물을 제거한다.
상기 퍼지 가스로서 예를 들면 Ar, He, Ne 등의 불활성 가스 또는 N2 가스 등을 사용할 수 있다.
도 3을 참조하여 설명한 탄탈럼 함유막의 형성 방법은 단지 예시에 불과한 것으로, 본 발명의 기술적 사상의 범위 내에서 다양한 변형 및 변경이 가능하다.
예를 들면, 기판 상에 탄탈럼 함유막을 형성하기 위하여 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 다른 전구체, 반응성 가스, 캐리어 가스, 및 퍼지 가스 중 적어도 하나와 함께, 또는 순차적으로 기판 상에 공급할 수 있다. 일반식 (I)의 구조를 가지는 탄탈럼 화합물과 함께 기판 상에 공급될 수 있는 다른 전구체, 반응성 가스, 캐리어 가스, 및 퍼지 가스에 대한 보다 상세한 구성은 전술한 바와 같다.
도 1 및 도 3의 공정들에 따라 기판상에 탄탈럼 함유막을 형성하기 위하여, 일반식 (I)의 구조를 가지는 본 발명의 기술적 사상에 의한 탄탈럼 화합물이 다양한 방법을 통해 운송되어 박막 형성 장치의 반응 챔버, 예를 들면 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)의 반응 챔버(254) 내부로 공급될 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 있어서, 탄탈럼 함유막을 형성하는 박막 형성 조건으로서, 반응 온도 (기판 온도), 반응 압력, 퇴적 속도 등을 들 수 있다.
반응 온도는 본 발명의 기술적 사상에 의한 탄탈럼 화합물, 예를 들면 일반식 (I)의 구조를 가지는 탄탈럼 화합물이 충분히 반응할 수 있는 온도, 즉 일 예에서 약 100 ℃, 또는 그 이상의 온도, 다른 예에서 약 150 ∼ 400 ℃의 온도, 또 다른 예에서는 약 150 ∼ 250 ℃의 온도 범위 내에서 선택될 수 있으나, 상기 예시된 온도에 한정되는 것은 아니다.
반응 압력은 열 CVD 공정 또는 광 CVD 공정의 경우 약 10 Pa 내지 대기압의 범위, 플라즈마를 사용하는 경우 약 10 Pa ∼ 2000 Pa의 범위 내에서 선택될 수 있으나, 이에 한정되는 것은 아니다.
또한, 퇴적 속도는 원료 화합물의 공급 조건 (예들 들면, 기화 온도 및 기화 압력), 반응 온도, 반응 압력을 조절하여 제어할 수 있다. 본 발명의 기술적 사상에 의한 박막 형성 방법에 있어서, 탄탈럼 함유막의 퇴적 속도는 약 0.01 ∼ 100 nm/min, 예를 들면 약 1 ∼ 50 nm/min의 범위 내에서 선택될 수 있으나, 상기 예시된 바에 한정되는 것은 아니다.
ALD 공정을 이용하여 탄탈럼 함유막을 형성하는 경우, 원하는 두께의 탄탈럼 함유막을 제어하기 위하여 ALD 사이클 횟수를 조절할 수 있다.
ALD 공정을 이용하여 탄탈럼 산화막을 형성할 때, 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다. 이와 같이 에너지를 인가하는 시점은 다양하게 선택될 수 있다. 예를 들면, 탄탈럼 화합물을 포함하는 소스 가스를 반응 챔버 내부로 도입할 때, 상기 소스 가스를 기판 상에 흡착시킬 때, 퍼지 가스에 의한 배기 공정시, 반응성 가스를 반응 챔버 내부로 도입할 때, 또는 이들 각각의 시점 사이에 플라즈마, 광, 전압 등의 에너지를 인가할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 있어서, 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 사용하여 탄탈럼 함유막을 형성한 후, 불활성 분위기, 산화성 분위기 또는 환원성 분위기 하에서 어닐링하는 공정을 더 포함할 수 있다. 또는, 상기 탄탈럼 함유막의 표면에 형성된 단차를 매립하기 위하여, 필요에 따라 상기 탄탈럼 함유막에 대하여 리플로우(reflow) 공정을 수행할 수도 있다. 상기 어닐링 공정 및 리플로우 공정은 각각 약 200 ∼ 1000 ℃, 예를 들면 약 250 ∼ 1000 ℃의 범위 내에서 선택되는 온도 조건 하에서 수행될 수 있으나, 상기 예시된 온도에 한정되는 것은 아니다.
일부 실시예들에서, 도 1 및 도 3을 참조하여 설명한 예시적인 박막 형성 방법을 수행하기 위하여 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)를 이용하여 수행될 수 있다. 다른 일부 실시예들에서, 도 1 및 도 3을 참조하여 설명한 예시적인 박막 형성 방법을 수행하기 위하여 도 2a 내지 도 2d에 예시한 증착 장치(200A, 200B, 200C, 200D)와 같은 매엽식 설비가 아닌 배치식 설비를 이용하여 다수의 기판 상에 동시에 탄탈럼 함유막을 형성할 수도 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따르면, 본 발명의 기술적 사상에 의한 탄탈럼 화합물, 상기 탄탈럼 화합물과 함께 사용되는 다른 전구체, 반응성 가스, 및 박막 형성 공정 조건을 적당히 선택함에 따라 다양한 종류의 탄탈럼 함유막을 형성할 수 있다. 일부 실시예들에서, 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 형성된 탄탈럼 함유막은 탄탈럼 질화막, 탄탈럼 산화막, 또는 탄탈 막으로 이루어질 수 있으나, 상기 예시한 바에 한정되는 것은 아니다.
본 발명의 기술적 사상에 의한 탄탈럼 화합물을 포함하는 박막 형성용 원료를 사용하여 제조된 박막은, 성분이 다른 전구체들, 반응성 가스 및 박박 형성 조건을 적절하게 선택하여, 금속, 산화물 세라믹, 질화물 세라믹, 유리 등과 같은 원하는 종류의 박막을 제공할 수 있다. 예를 들면, TaN으로 대표되는 탄탈럼 질화막, Ta2O3로 대표되는 탄탈럼 산화막, Ta 박막, Ta와 Al과의 복합 산화물 박막, Ta, Zr, 및 Hf의 복합 산화물 박막, Ta, Si, Zr, 및 Hf의 복합 산화물 박막, Ta, La, 및 Nb의 복합 산화물 박막, Ta, Si, La, 및 Nb의 복합 산화물 박막, Ta 도핑된 강유전체 복합 산화물 박막, Ta 도핑된 유리 박막 등을 제공할 수 있다.
본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 제조된 탄탈럼 함유막은 다양한 용도로 사용될 수 있다. 예를 들면, 상기 탄탈럼 함유막은 트랜지스터의 게이트, 금속 배선, 예를 들면 구리 배선에 사용되는 도전성 배리어막, 커패시터의 유전막, 액정용 배리어 금속막, 박막 태양전지용 부재, 반도체 설비용 부재, 나노 구조체 등에 사용될 수 있으나, 상기 탄탈럼 함유막의 용도가 상기 예시된 소자들에 한정되는 것은 아니다.
도 4a 내지 도 4c는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자를 설명하기 위한 도면들로서, 도 4a는 FinFET 구조를 가지는 제1 트랜지스터(TR51) 및 제2 트랜지스터(TR52)를 포함하는 집적회로 소자(500)의 주요 구성들을 도시한 사시도이고, 도 4b는 도 4a의 B1 - B1' 선 및 B2 - B2' 선 단면도이고, 도 4c는 도 4a의 C1 - C1' 선 및 C2 - C2' 선 단면도이다.
집적회로 소자(500)는 기판(510)의 제1 영역(I) 및 제2 영역(II)으로부터 각각 기판(510)의 주면에 수직인 방향 (Z 방향)으로 돌출된 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)을 포함한다.
제1 영역(I) 및 제2 영역(II)은 기판(510)의 서로 다른 영역들을 지칭하는 것으로, 기판(510) 상에서 서로 다른 기능을 수행하는 영역일 수 있다. 제1 영역(I) 및 제2 영역(II)에는 각각 서로 다른 문턱 전압이 요구되는 제1 트랜지스터(TR11) 및 제2 트랜지스터(TR12)가 형성될 수 있다. 일부 실시예들에서, 제1 영역(I)은 PMOS 트랜지스터 영역이고, 제2 영역(II)은 NMOS 트랜지스터 영역일 수 있다.
상기 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)은 일 방향 (도 4a 내지 도 4c에서 Y 방향)을 따라 연장될 수 있다. 제1 영역(I) 및 제2 영역(II)에서 상기 기판(510) 상에는 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)의 하부 측벽을 덮는 제1 소자분리막(512) 및 제2 소자분리막(514)이 형성되어 있다. 제1 핀형 활성 영역(F1)은 제1 소자분리막(512) 위로 핀 형상으로 돌출되고, 제2 핀형 활성 영역(F2)은 제2 소자분리막(512) 위로 핀 형상으로 돌출되어 있다.
상기 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)은 각각의 상부에 제1 채널 영역(CH1) 및 제2 채널 영역(CH2)을 가질 수 있다. 상기 제1 채널 영역(CH1)에는 P 형 채널이 형성되고, 상기 제2 채널 영역(CH2)에는 N 형 채널이 형성될 수 있다.
일부 실시예들에서, 상기 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)은 단일 물질로 이루어질 수 있다. 예를 들면, 상기 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)은 제1 채널 영역(CH1) 및 제2 채널 영역(CH2)을 포함하는 모든 영역이 Si으로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 제1 핀형 활성 영역(F1) 및 제2 핀형 활성 영역(F2)은 각각 Ge로 이루어지는 영역과 Si로 이루어지는 영역을 포함할 수 있다
상기 제1 및 제2 소자분리막(512, 514)은 각각 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 실리콘 탄화질화막 등과 같은 실리콘 함유 절연막, 폴리실리콘, 또는 이들의 조합으로 이루어질 수 있다.
제1 영역(I)에서, 제1 핀형 활성 영역(F1) 위에는 제1 인터페이스막(522A), 제1 고유전막(524A), 제1 식각 정지층(526A), 제1 일함수 조절층(528), 제2 일함수 조절층(529), 및 제1 갭필 게이트막(530A)이 차례로 적층된 제1 게이트 구조물(GA)이 상기 제1 핀형 활성 영역(F1)의 연장 방향에 교차하는 방향 (도 4a 내지 도 4c에서 X 방향)으로 연장되어 있다. 상기 제1 트랜지스터(TR51)는 제1 핀형 활성 영역(F1)과 상기 제1 게이트 구조물(GA)이 교차하는 부분에 형성된다.
제2 영역(II)에서, 제2 핀형 활성 영역(F2) 위에는 제2 인터페이스막(522B), 제2 고유전막(524B), 제2 식각 정지층(526B), 제2 일함수 조절층(529), 및 제2 갭필 게이트막(530B)이 차례로 적층된 제2 게이트 구조물(GB)이 상기 제2 핀형 활성 영역(F2)의 연장 방향에 교차하는 방향 (도 4a 내지 도 4c에서 X 방향)으로 연장되어 있다. 상기 제2 트랜지스터(TR52)는 제2 핀형 활성 영역(F2)과 상기 제2 게이트 구조물(GB)이 교차하는 부분에 형성된다.
상기 제1 인터페이스막(522A) 및 제2 인터페이스막(522B)은 각각 제1 활성 영역(AC1) 및 제2 활성 영역(AC2)의 표면을 산화시켜 얻어지는 막으로 이루어질 수 있다. 일부 실시예들에서, 상기 제1 인터페이스막(522A) 및 제2 인터페이스막(522B)은 각각 유전율이 약 9 이하인 저유전 물질층, 예를 들면 실리콘 산화막, 실리콘 산질화막, 또는 이들의 조합으로 이루어질 수 있다. 일부 실시예들에서, 상기 제1 인터페이스막(522A) 및 제2 인터페이스막(522B)은 약 5 ∼ 20 Å의 두께를 가질 수 있으나, 이에 한정되는 것은 아니다. 일부 실시예들에서, 상기 제1 인터페이스막(522A) 및 제2 인터페이스막(522B)은 생략될 수 있다.
상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 각각 실리콘 산화막보다 유전 상수가 더 큰 금속 산화물로 이루어질 수 있다. 예를 들면, 상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 각각 약 10 내지 25의 유전 상수를 가질 수 있다. 상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 하프늄 산화물 (hafnium oxide), 하프늄 산질화물 (hafnium oxynitride), 하프늄 실리콘 산화물 (hafnium silicon oxide), 란타늄 산화물 (lanthanum oxide), 란타늄 알루미늄 산화물 (lanthanum aluminum oxide), 지르코늄 산화물 (zirconium oxide), 지르코늄 실리콘 산화물 (zirconium silicon oxide), 탄탈륨 산화물 (tantalum oxide), 티타늄 산화물 (titanium oxide), 바륨 스트론튬 티타늄 산화물 (barium strontium titanium oxide), 바륨 티타늄 산화물 (barium titanium oxide), 스트론튬 티타늄 산화물 (strontium titanium oxide), 이트륨 산화물 (yttrium oxide), 알루미늄 산화물 (aluminum oxide), 납 스칸듐 탄탈륨 산화물 (lead scandium tantalum oxide), 납 아연 니오브산염 (lead zinc niobate), 및 이들의 조합 중에서 선택되는 물질로 이루어질 수 있으나, 상기 예시된 바에 한정되는 것은 아니다.
상기 제1 고유전막(122) 및 제2 고유전막(124)은 ALD 또는 CVD 공정에 의해 형성될 수 있다. 상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 약 10 ∼ 40 Å의 두께를 가질 수 있으나, 이에 한정되는 것은 아니다.
상기 제1 고유전막(524A) 및 제2 고유전막(524B)이 Ta를 함유하는 막으로 이루어지는 경우, 상기 제1 고유전막(524A) 및 제2 고유전막(524B)을 형성하기 위하여, 전술한 바와 같은 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 포함하는 박막 형성 원료를 사용하여 상기 제1 고유전막(524A) 및 제2 고유전막(524B)을 형성할 수 있다.
상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)은 각각 TaN 막으로 이루어질 수 있다. 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)은, 전술한 바와 같은 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 포함하는 박막 형성 원료와, 질소 원자를 포함하는 반응성 가스, 예를 들면 NH3 가스를 사용하여 CVD 또는 ALD 공정에 의해 형성될 수 있다.
상기 제1 일함수 조절층(528)은 P 형 트랜지스터의 일함수를 조절하기 위한 것으로서, 예를 들면 TiN으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 제2 일함수 조절층(529)은 N 형 트랜지스터의 일함수를 조절하기 위한 것으로서, 예를 들면 TiAl, TiAlC, TiAlN, TaC, TiC, HfSi, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 물질들에 한정되는 것은 아니다.
상기 제1 갭필 게이트막(530A) 및 제2 갭필 게이트막(530B)은 W으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
도시하지는 않았으나, 상기 제2 일함수 조절층(529)과 상기 제1 갭필 게이트막(530A)과의 사이, 및/또는 상기 제2 일함수 조절층(529)과 상기 제2 갭필 게이트막(530B)과의 사이에는 도전성 배리어막이 개재될 수 있다. 일부 실시예들에서, 상기 도전성 배리어막은 금속 질화물, 예를 들면 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 제1 핀형 활성 영역(F1) 중 상기 제1 게이트 구조물(GA)의 양 측에는 한 쌍의 제1 소스/드레인 영역(562)이 형성될 수 있다. 상기 제2 핀형 활성 영역(F2) 중 상기 제2 게이트 구조물(GB)의 양 측에는 한 쌍의 제2 소스/드레인 영역(564)이 형성될 수 있다.
상기 제1 및 제2 소스/드레인 영역(562, 564)은 각각 제1 및 제2 핀형 활성 영역(F1, F2)으로부터 에피택셜 성장된 반도체층을 포함할 수 있다. 상기 제1 및 제2 소스/드레인 영역(562, 564)은 에피택셜 성장된 복수의 SiGe층을 포함하는 임베디드 SiGe 구조, 에피택셜 성장된 Si 층, 또는 에피택셜 성장된 SiC 층으로 이루어질 수 있다.
도 4a 및 도 4c에서, 상기 제1 및 제2 소스/드레인 영역(562, 564)이 특정한 형상을 가지는 경우를 예시하였으나, 상기 제1 및 제2 소스/드레인 영역(562, 564)의 단면 형상이 도 4a 및 도 4c에 예시한 바에 한정되지 않고 다양한 형상을 가질 수 있다.
상기 제1 및 제2 트랜지스터(TR51, TR52)는 각각 제1 및 제2 핀형 활성 영역(F1, F2)의 상면 및 양 측면에서 채널이 형성되는 3 차원 구조의 MOS 트랜지스터로 이루어진다. 상기 MOS 트랜지스터는 NMOS 트랜지스터 또는 PMOS 트랜지스터를 구성할 수 있다.
제1 영역(I) 및 제2 영역(II)에서, 제1 및 제2 게이트 구조물(GA, GB)의 양 측에는 절연 스페이서(572)가 형성될 수 있다. 도 4c에 예시한 바와 같이, 상기 절연 스페이서(572)를 중심으로 제1 및 제2 게이트 구조물(GA, GB)의 반대측에서 상기 절연 스페이서(572)를 덮는 절연막(578)이 형성될 수 있다. 상기 절연 스페이서(572)는 실리콘 질화막으로 이루어지고, 상기 절연막(578)은 실리콘 산화막으로 이루어질 수 있으나, 본 발명의 기술적 사상이 이에 한정되는 것은 아니다.
도 5a 내지 도 5h는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 5a 내지 도 5h를 참조하여, 도 4a 내지 도 4c에 예시한 집적회로 소자(500)의 예시적인 제조 방법을 설명한다. 도 5a 내지 도 5h에 있어서, 도 4a 내지 도 4c에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 이들에 대한 상세한 설명을 생략한다.
도 5a를 참조하면, 제1 영역(I) 및 제2 영역(II)을 포함하는 기판(510)을 준비한다.
상기 기판(510)은 Si 또는 Ge와 같은 반도체, 또는 SiGe, SiC, GaAs, InAs, 또는 InP와 같은 화합물 반도체를 포함할 수 있다. 일부 실시예들에서, 상기 기판(510)은 III-V 족 물질 및 IV 족 물질 중 적어도 하나로 이루어질 수 있다. 상기 III-V 족 물질은 적어도 하나의 III 족 원소와 적어도 하나의 V 족 원소를 포함하는 2 원계, 3 원계, 또는 4 원계 화합물일 수 있다. 상기 III-V 족 물질은 III 족 원소로서 In, Ga 및 Al 중 적어도 하나의 원소와, V 족 원소로서 As, P 및 Sb 중 적어도 하나의 원소를 포함하는 화합물일 수 있다. 예를 들면, 상기 III-V 족 물질은 InP, InzGa1 - zAs (0 ≤ z ≤ 1), 및 AlzGa1 - zAs (0 ≤ z ≤ 1)로부터 선택될 수 있다. 상기 2 원계 화합물은, 예를 들면 InP, GaAs, InAs, InSb 및 GaSb 중 어느 하나일 수 있다. 상기 3 원계 화합물은 InGaP, InGaAs, AlInAs, InGaSb, GaAsSb 및 GaAsP 중 어느 하나일 수 있다. 상기 IV 족 물질은 Si 또는 Ge일 수 있다. 그러나, 본 발명의 기술적 사상에 의한 집적회로 소자에서 사용 가능한 III-V 족 물질 및 IV 족 물질이 상기 예시한 바에 한정되는 것은 아니다. 상기 III-V 족 물질과 Ge과 같은 IV 족 물질은 저전력, 고속 트랜지스터를 만들 수 있는 채널 재료로 이용될 수 있다. Si 기판에 비해 전자의 이동도가 높은 III-V 족 물질, 예를 들면 GaAs로 이루어지는 반도체 기판과, Si 기판에 비해 정공의 이동도가 높은 반도체 물질, 예를 들면 Ge로 이루어지는 반도체 기판을 이용하여 고성능 CMOS를 형성할 수 있다. 일부 실시예들에서, 상기 기판(510) 상에 NMOS 트랜지스터를 형성하는 경우, 상기 기판(510)은 위에서 예시한 III-V 족 물질들 중 어느 하나로 이루어질 수 있다. 다른 일부 실시예들에서, 상기 기판(510) 상에 PMOS 트랜지스터를 형성하는 경우, 상기 기판(510)의 적어도 일부는 Ge로 이루어질 수 있다. 다른 예에서, 상기 기판(510)은 SOI (silicon on insulator) 구조를 가질 수 있다. 상기 기판(510)은 도전 영역, 예를 들면 불순물이 도핑된 웰 (well), 또는 불순물이 도핑된 구조물을 포함할 수 있다.
상기 기판(510)의 일부 영역을 식각하여, 상기 기판(510)의 제1 영역(I) 및 제2 영역(II)에 복수의 트렌치를 형성하여 상기 기판(510)으로부터 상기 기판(510)의 주면에 수직인 방향 (Z 방향)을 따라 상부로 돌출되고 일 방향 (Y 방향)으로 연장되는 제1 및 제2 핀형 활성 영역(F1, F2)을 형성하고, 상기 제1 및 제2 핀형 활성 영역(F1, F2)의 하부 측벽을 덮도록 상기 복수의 트렌치 내에 제1 소자분리막(512) 및 제2 소자분리막(514)을 형성한다.
그 후, 제1 영역(I) 및 제2 영역(II)에서 상기 제1 및 제2 핀형 활성 영역(F1, F2)의 상부 및 상기 제1 및 제2 소자분리막(512, 514)의 상부에 제1 더미 게이트(DG1) 및 제2 더미 게이트(DG2)를 형성하고, 상기 제1 더미 게이트(DG1) 및 제2 더미 게이트(DG2) 각각의 양 측에 절연 스페이서(572)와 한 쌍의 제1 및 제2 소스/드레인 영역(562, 564)을 형성한 후, 상기 한 쌍의 제1 및 제2 소스/드레인 영역(562, 564)을 절연막(578)으로 덮는다.
상기 제1 더미 게이트(DG1) 및 제2 더미 게이트(DG2)는 폴리실리콘으로 이루어질 수 있다.
도 5b를 참조하면, 제1 영역(I) 및 제2 영역(II)에서 상기 제1 더미 게이트(DG1) 및 제2 더미 게이트(DG2)을 제거하여 제1 게이트 공간(GS1) 및 제2 게이트 공간(GS2)을 비운 후, 상기 제1 게이트 공간(GS1) 내에서 노출되는 제1 핀형 활성 영역(F1)의 노출 표면 위에 제1 인터페이스막(522A)을 형성하고, 상기 제2 게이트 공간(GS2) 내에서 노출되는 제2 핀형 활성 영역(F2)의 노출 표면 위에 제2 인터페이스막(522B)을 형성한다.
그 후, 제1 영역(I) 및 제2 영역(II)의 노출 표면을 덮는 제1 고유전막(524A) 및 제2 고유전막(524B)을 형성한다. 상기 제1 고유전막(524A)은 제1 게이트 공간(GS1)의 저면에서 노출되는 제1 인터페이스막(522A)과 상기 제1 게이트 공간(GS1)의 측벽에서 노출되는 절연 스페이서(572)를 컨포멀하게 덮도록 형성될 수 있다. 상기 제2 고유전막(524B)은 제2 게이트 공간(GS2)의 저면에서 노출되는 제2 인터페이스막(522B)과 상기 제2 게이트 공간(GS2)의 측벽에서 노출되는 절연 스페이서(572)를 컨포멀하게 덮도록 형성될 수 있다.
상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 동시에 형성될 수 있다. 상기 제1 고유전막(524A) 및 제2 고유전막(524B)은 동일한 물질로 이루어질 수 있다.
도 5c를 참조하면, 제1 영역(I)에서 상기 제1 고유전막(524A)을 덮는 제1 식각 정지층(526A)과, 제2 영역(II)에서 제2 고유전막(524B)을 덮는 제2 식각 정지층(526B)을 형성한다.
상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)은 각각 TaN 막으로 이루어질 수 있다. 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)은 전술한 바와 같은 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 포함하는 박막 형성 원료와, 질소 원자를 포함하는 반응성 가스, 예를 들면 NH3 가스를 사용하여, 도 1 및 도 3을 참조하여 상술한 박막 형성 방법에 의해 형성될 수 있다.
일부 실시예들에서, 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)을 형성하기 위하여 CVD 공정을 이용할 수 있다. 예를 들면, 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)을 형성하기 위하여, 상기 제1 고유전막(524A) 및 제2 고유전막(524B) 위에 일반식 (I)의 탄탈럼 화합물과 질소 원자를 포함하는 반응성 가스를 동시에 공급할 수 있다.
다른 일부 실시예들에서, 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)을 형성하기 위하여 ALD 공정을 이용할 수 있다. 예를 들면, 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)을 형성하기 위하여, 상기 제1 고유전막(524A) 및 제2 고유전막(524B) 위에 일반식 (I)의 탄탈럼 화합물을 공급하여 상기 고유전막 위에 탄탈럼 화합물 흡착층을 형성하는 제1 공정, 퍼지 가스 예를 들면 Ar을 이용하여 기판(510) 상의 불필요한 부산물들을 제거하는 제2 공정, 상기 탄탈럼 화합물 흡착층 상에 질소 원자를 포함하는 반응성 가스를 공급하여 상기 탄탈럼 화합물 흡착층과 상기 반응성 가스를 반응시키는 제3 공정, 및 퍼지 가스 예를 들면 Ar을 이용하여 기판(510) 상의 불필요한 부산물들을 제거하는 제4 공정을 수행할 수 있다. 그리고, 원하는 두께의 상기 제1 식각 정지층(526A) 및 제2 식각 정지층(526B)이 얻어질 때까지 상기 제1 내지 제4 공정을 순차적으로 복수 회 반복할 수 있다.
일반식 (I)의 구조를 가지는 탄탈럼 화합물은 상온에서 액체일 수 있다. 따라서, 융점이 비교적 낮고, 액체 상태에서 운송이 가능하며, 증기압이 비교적 높아 쉽게 기화되어, 운송(delivery)이 용이하다. 따라서, ALD, CVD 등과 같은 박막 증착 공정을 수행하는 데 필요한 원료 화합물이 기화된 상태로 공급되는 퇴적 공정에서 탄탈럼 함유막을 형성하는 데 필요한 전구체로서 사용하기 적합하다. 특히, 본 발명의 기술적 사상에 의한 탄탈럼 화합물은 비교적 높은 증기압으로 인해 비교적 큰 아스펙트비를 가지는 구조물까지의 운송이 용이하게 이루어질 수 있고, 그에 따라 비교적 큰 아스펙트비를 가지는 구조물 위에 양호한 스텝 커버리지 특성을 가지는 탄탈럼 함유막을 형성할 수 있다.
도 5d를 참조하면, 제1 영역(I) 및 제2 영역(II)에서 제1 식각 정지층(526A) 및 제2 식각 정지층(526B) 위에 제1 일함수 조절층(528)을 형성한다.
일부 실시예들에서 상기 제1 일함수 조절층(528)은 TiN으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
도 5e를 참조하면, 제2 영역(II)에 있는 제1 일함수 조절층(528)을 노출시키면서 제1 영역(I)에 있는 제1 일함수 조절층(528)을 덮도록 제1 영역(I) 위에 마스크 패턴(592)을 형성한 후, 상기 마스크 패턴(592)을 식각 마스크로 이용하여 제2 영역(II)에서 제1 일함수 조절층(528)을 제거하여 제2 식각 정지층(526B)을 노출시킨다.
제2 영역(II)에서 상기 제1 일함수 조절층(528)을 제거하기 위하여 습식 식각 또는 건식 식각 공정을 이용할 수 있다. 일부 실시예들에서, 상기 제1 일함수 조절층(528)을 제거하기 위하여 H2O2를 포함하는 식각 용액을 이용한 식각 공정을 수행할 수 있다. 이 때, 상기 제2 식각 정지층(526B)은 전술한 바와 같은 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 포함하는 박막 형성 원료를 사용하여 형성된 막으로서, H2O2를 포함하는 식각 용액에 대하여 우수한 식각 내성을 가진다. 따라서, H2O2를 포함하는 식각 용액을 이용하여 상기 제1 일함수 조절층(528)을 제거한 후, 노출되는 제2 식각 정지층(526B)이 식각 용액에 포함된 H2O2에 노출되는 경우에도, 상기 제2 식각 정지층(526B)이 H2O2에 의해 손상되거나 조성이 변화되지 않으며, 산소 원자의 침투에 대한 강한 내성을 가진다.
비교예로서, TaN으로 이루어지는 상기 제2 식각 정지층(526B)을 형성하기 위하여 Ta 소스로서 PDMAT (pentakis-(dimethylamino)Ta)와 같은 통상의 전구체를 이용하여 TaN 막을 형성하는 경우, PDMAT를 이용하여 형성된 TaN 막이 H2O2를 포함하는 식각 용액에 노출될 때, 산소가 상기 노출된 TaN 막 내에 침투하여 상기 노출된 TaN 막이 Ta 산화막으로 변화될 수 있다. 그 결과, 형성하고자 하는 게이트 적층 구조에서의 일함수에 악영향을 미치게 될 수 있다. 또한, PDMAT는 상온에서 고체이므로 ALD 또는 CVD 공정을 이용한 박막 형성 공정이 취급이 용이하지 않아 생산성 측면에서 불리하다.
그러나, 본 발명의 기술적 사상에 의하면, 상기 제2 식각 정지층(526B)을 형성하기 위하여 일반식 (I)의 구조를 가지는 탄탈럼 화합물을 포함하는 박막 형성 원료를 사용하므로, 상기 제1 일함수 조절층(528)을 제거하는 동안 제2 식각 정지층(526B)이 식각 용액에 포함된 H2O2에 노출되는 경우에도, 상기 제2 식각 정지층(526B)이 H2O2에 대한 우수한 식각 내성을 가지므로, 상기 제2 식각 정지층(526B)의 조성이 변화되거나 손상될 염려가 없고, 형성하고자 하는 게이트에서 원하는 일함수를 구현하는 데 악영향을 미치지 않는다.
도 5f를 참조하면, 마스크 패턴(592)(도 5e 참조)을 제거한 후, 제1 영역(I)에서 제1 일함수 조절층(528)을 덮고 제2 영역(II)에서 제2 식각 정지층(526B)을 덮는 제2 일함수 조절층(529)을 형성한다.
상기 제2 일함수 조절층(529)은 TiAl, TiAlC, TiAlN, TaC, TiC, HfSi, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 물질들에 한정되는 것은 아니다.
도 5g를 참조하면, 제1 영역(I)에서 제2 일함수 조절층(529) 위에 제1 게이트 공간(GS1)의 남은 부분을 채우는 제1 갭필 게이트막(530A)을 형성하고, 제2 영역(II)에서 제2 일함수 조절층(529) 위에 제2 게이트 공간(GS2)의 남은 부분을 채우는 제2 갭필 게이트막(530B)을 형성한다.
상기 제1 갭필 게이트막(530A) 및 제2 갭필 게이트막(530B)은 W으로 이루어질 수 있으나, 이에 한정되는 것은 아니다. 상기 제1 갭필 게이트막(530A) 및 제2 갭필 게이트막(530B)은 동시에 형성될 수 있다.
일부 실시예들에서, 상기 제1 갭필 게이트막(530A) 및 제2 갭필 게이트막(530B)을 형성하기 전에, 제1 영역(I)에 있는 제2 일함수 조절층(529)과 제1 갭필 게이트막(530A)과의 사이, 및/또는 제2 영역(II)에 있는 제2 일함수 조절층(529)과 제2 갭필 게이트막(530B)과의 사이에 도전성 배리어막을 형성하는 공정을 더 수행할 수 있다. 상기 도전성 배리어막은 금속 질화물, 예를 들면 TiN, TaN, 또는 이들의 조합으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
도 5h를 참조하면, 제1 영역(I) 및 제2 영역(II)에서 절연막(578)의 상면이 노출될 때까지 상기 절연막(578)의 상면을 덮는 층들을 제거하여, 제1 및 제2 게이트 공간(GS1, GS2) 내에 각각 제1 및 제2 게이트 구조물(GA, GB)을 형성하고, 제1 트랜지스터(TR51) 및 제2 트랜지스터(TR52)를 완성한다.
도 5a 내지 도 5h를 참조하여 도 4a 내지 도 4c에 예시한 집적회로 소자(500)의 예시적인 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상의 범위 내에서 이로부터 변형 및 변경된 다양한 방법을 이용하여 다양한 구조를 가지는 집적회로 소자들을 용이하게 구현할 수 있다.
또한, 도 5a 내지 도 5h를 참조하여 3 차원 구조의 채널을 구비하는 FinFET을 포함하는 집적회로 소자의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 설명한 바에 한정되는 것은 아니다. 예를 들면, 본 발명의 기술적 사상의 범위 내에서 다양한 변형 및 변경을 통하여 본 발명의 기술적 사상에 의한 특징들을 가지는 수평형 (planar) MOSFET을 포함하는 집적회로 소자들 및 그 제조 방법들을 제공할 수 있음은 당 업자들이면 잘 알 수 있을 것이다.
도 6a 내지 도 6j는 본 발명의 기술적 사상에 의한 실시예들에 따른 집적회로 소자(600) (도 6j 참조)의 제조 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 도 6a 내지 도 6j에 있어서, 도 5a 내지 도 5h에서와 동일한 참조 부호는 동일 부재를 나타내며, 여기서는 이들에 대한 상세한 설명을 생략한다.
도 6a를 참조하면, 복수의 활성 영역(AC)을 포함하는 기판(510) 상에 층간절연막(620)을 형성한 후, 상기 층간절연막(620)을 관통하여 상기 복수의 활성 영역(AC)에 연결되는 복수의 도전 영역(624)을 형성한다.
상기 복수의 활성 영역(AC)은 기판(510)에 형성된 복수의 소자분리 영역(612)에 의해 정의될 수 있다. 상기 소자분리 영역(612)은 실리콘 산화막, 실리콘 질화막, 실리콘 산화질화막, 또는 이들의 조합으로 이루어질 수 있다.
상기 층간절연막(620)은 실리콘 산화막을 포함할 수 있다.
상기 복수의 도전 영역(624)은 기판(510) 상에 형성된 전계효과 트랜지스터와 같은 스위칭 소자(도시 생략)의 일 단자에 연결될 수 있다. 상기 복수의 도전 영역(624)은 폴리실리콘, 금속, 도전성 금속 질화물, 금속 실리사이드, 또는 이들의 조합으로 이루어질 수 있으나, 상기 예시한 바에 한정되는 것은 아니다.
도 6b를 참조하면, 층간절연막(620) 및 복수의 도전 영역(624)을 덮는 절연층(628)을 형성한다. 상기 절연층(628)은 식각 정지층으로 사용될 수 있다.
상기 절연층(628)은 층간절연막(620) 및 후속 공정에서 형성되는 몰드막(630) (도 6c 참조)에 대하여 식각 선택비를 가지는 절연 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 절연층(628)은 실리콘 질화물, 실리콘 산화질화물, 또는 이들의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 절연층(628)은 약 100 ∼ 600 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 6c를 참조하면, 절연층(628) 위에 몰드막(630)을 형성한다.
일부 실시예들에서, 상기 몰드막(630)은 산화막으로 이루어질 수 있다. 예를 들면, 상기 몰드막(630)은 BPSG (boro phospho silicate glass), PSG (phospho silicate glass), USG (undoped silicate glass), SOD (spin on dielectric), HDP CVD (high density plasma chemical vapor deposition) 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 몰드막(130)을 형성하기 위하여, 열 CVD 공정 또는 플라즈마 CVD 공정을 이용할 수 있다. 일부 실시예들에서, 상기 몰드막(630)은 약 1000 ∼ 20000 Å의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
일부 실시예들에서, 상기 몰드막(630)은 지지막(도시 생략)을 포함할 수 있다. 상기 지지막은 몰드막(630)에 대하여 식각 선택비를 가지는 물질로 형성될 수 있으며, 약 50 ∼ 3000 Å의 두께를 가질 수 있다. 상기 지지막은 후속 공정에서 상기 몰드막(630)을 제거할 때 사용되는 식각 분위기, 예를 들면 불화암모늄(NH4F), 불산(HF) 및 물을 포함하는 LAL (Limulus Amoebocyte Lysate) 리프트-오프(lift-off) 공정을 이용하는 경우, LAL에 대하여 식각율이 비교적 낮은 물질로 이루어질 수 있다. 일부 실시예들에서, 상기 지지막은 실리콘 질화물, 실리콘 탄화질화물, 탄탈륨 산화물, 티타늄 산화물, 또는 이들의 조합으로 이루어질 수 있으나, 상기 지지막의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
도 6d를 참조하면, 상기 몰드막(630) 위에 희생막(642) 및 마스크 패턴(644)을 차례로 형성한다.
상기 희생막(642)은 BPSG, PSG, USG, SOD, HDP CVD 공정에 의해 형성된 산화막 등과 같은 산화막을 포함할 수 있다. 상기 희생막(642)은 약 500 ∼ 2000 Å의 두께를 가질 수 있다. 상기 희생막(642)은 상기 몰드막(630)에 포함된 지지막을 보호하는 역할을 할 수 있다.
상기 마스크 패턴(644)은 산화막, 질화막, 폴리실리콘막, 포토레지스트막, 또는 이들의 조합으로 이루어질 수 있다. 상기 마스크 패턴(644)에 의해 커패시터의 하부 전극이 형성될 영역이 정의될 수 있다.
도 6e를 참조하면, 마스크 패턴(644)을 식각 마스크로 이용하고 절연층(628)을 식각 정지층으로 이용하여 희생막(642) 및 몰드막(630)을 건식 식각하여, 복수의 홀(H1)을 한정하는 희생 패턴(642P) 및 몰드 패턴(630P)을 형성한다.
이 때, 과도 식각에 의해 상기 절연층(628)도 식각되어 복수의 도전 영역(624)을 노출시키는 절연 패턴(628P)이 형성될 수 있다.
도 6f를 참조하면, 도 6e의 결과물로부터 마스크 패턴(644)을 제거한 후, 복수의 홀(H1) 각각의 내부 측벽과, 절연 패턴(628P)의 노출 표면과, 복수의 홀(H1) 각각의 내부에서 노출되는 상기 복수의 도전 영역(624)의 표면과, 희생 패턴(642P)의 노출 표면을 덮는 하부 전극 형성용 도전막(650)을 형성한다.
상기 하부 전극 형성용 도전막(650)은 상기 복수의 홀(H1) 각각의 내부 공간이 일부 남도록 복수의 홀(H1)의 측벽에 컨포멀(conformal)하게 형성될 수 있다.
일부 실시예들에서, 상기 하부 전극 형성용 도전막(650)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 하부 전극 형성용 도전막(650)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 상기 하부 전극 형성용 도전막(650)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
상기 하부 전극 형성용 도전막(650)를 형성하기 위하여, CVD, MOCVD (metal organic CVD), 또는 ALD 공정을 이용할 수 있다. 상기 하부 전극 형성용 도전막(650)은 약 20 ∼ 100 nm의 두께로 형성될 수 있으나, 이에 한정되는 것은 아니다.
도 6g를 참조하면, 하부 전극 형성용 도전막(650)의 상부를 부분적으로 제거하여 상기 하부 전극 형성용 도전막(650)을 복수의 하부 전극(LE)으로 분리한다.
상기 복수의 하부 전극(LE)을 형성하기 위하여, 몰드 패턴(630P)의 상면이 노출될 까지 에치백(etchback) 또는 CMP(chemical mechanical polishing) 공정을 이용하여 상기 하부 전극 형성용 도전막(650)의 상부측 일부와 희생 패턴(642P)(도 6f 참조)을 제거할 수 있다.
상기 복수의 하부 전극(LE)은 상기 절연 패턴(628P)을 통해 도전 영역(624)에 연결될 수 있다.
도 6h를 참조하면, 몰드 패턴(630P)을 제거하여, 실린더 형상의 복수의 하부 전극(LE)의 외벽면들을 노출시킨다.
상기 몰드 패턴(630P)은 LAL 또는 불산을 이용하는 리프트-오프 공정에 의해 제거될 수 있다.
도 6i를 참조하면, 복수의 하부 전극(LE) 위에 유전막(660)을 형성한다.
상기 유전막(660)은 상기 복수의 하부 전극(LE)의 노출 표면들을 컨포멀하게 덮도록 형성될 수 있다.
상기 유전막(660)은 ALD 공정에 의해 형성될 수 있다. 상기 유전막(660)을 형성하기 위하여 도 1 및 도 3을 참조하여 설명한 본 발명의 기술적 사상에 의한 박막 형성 방법을 이용할 수 있다.
일부 실시예들에서, 상기 유전막(660)은 Ta2O5 막을 포함할 수 있다. 예를 들면, 상기 유전막(660)은 Ta2O5 막의 단일층으로 이루어지거나, 적어도 하나의 Ta2O5 막과, 산화물, 금속 산화물, 질화물, 또는 이들의 조합으로 이루어지는 적어도 하나의 유전막을 포함하는 다중층으로 이루어질 수 있다. 예들 들면, 상기 유전막(660)은 적어도 하나의 Ta2O5 막과, ZrO2 막 및 Al2O3 막 중에서 선택되는 적어도 하나의 고유전막과의 조합으로 이루어질 수 있다.
일부 실시예들에서, 상기 유전막(660)은 약 50 ∼ 150 Å의 두께를 가질 수 있으나, 예시한 바에 한정되는 것은 아니다.
도 6j를 참조하면, 유전막(660) 상에 상부 전극(UE)을 형성한다.
상기 하부 전극(LE), 유전막(660), 및 상부 전극(UE)에 의해 커패시터(670)가 구성될 수 있다.
상기 상부 전극(UE)은 도핑된 반도체, 도전성 금속 질화물, 금속, 금속 실리사이드, 도전성 산화물, 또는 이들의 조합으로 이루어질 수 있다. 예를 들면, 상기 상부 전극(UE)은 TiN, TiAlN, TaN, TaAlN, W, WN, Ru, RuO2, SrRuO3, Ir, IrO2, Pt, PtO, SRO (SrRuO3), BSRO (Ba,Sr)RuO3), CRO (CaRuO3), LSCo ((La,Sr)CoO3), 또는 이들의 조합으로 이루어질 수 있으나, 상기 상부 전극(UE)의 구성 물질이 상기 예시한 바에 한정되는 것은 아니다.
상기 상부 전극(UE)을 형성하기 위하여, CVD, MOCVD, PVD, 또는 ALD 공정을 이용할 수 있다.
이상, 도 6a 내지 도 6j를 참조하여 실린더형 하부 전극(LE)의 표면을 덮는 유전막(660)을 형성하는 공정을 포함하는 집적회로 소자(600)의 제조 방법에 대하여 설명하였으나, 본 발명의 기술적 사상은 상기 예시한 바에 한정되는 것은 아니다. 예를 들면, 상기 실린더형 하부 전극(LE) 대신 내부 공간이 없는 필라(pillar)형 하부 전극을 형성할 수도 있으며, 상기 유전막(660)은 상기 필라형 하부 전극 위에 형성될 수도 있다.
도 6a 내지 도 6j를 참조하여 설명한 본 발명의 기술적 사상에 의한 실시예들에 따른 방법에 의해 형성된 집적회로 소자(600)의 커패시터(670)는 커패시턴스를 증가시키기 위해 3 차원 전극 구조를 가지는 하부 전극(LE)을 포함한다. 디자인 룰 (design rule) 감소로 인한 커패시턴스 감소를 보상하기 위하여 3 차원 구조의 하부 전극(LE)의 아스펙트비 (aspect ratio)는 증가하고 있다. 깊고 좁은 3 차원 공간에 고품질의 유전막을 형성하기 위하여 ALD 또는 CVD 공정을 이용할 수 있다. 본 발명의 기술적 사상에 의한 탄탈럼 화합물은 융점이 비교적 낮고, 액체 상태에서 운송이 가능하며, 증기압이 비교적 높아 쉽게 기화되어, 운송이 용이하다. 따라서, ALD 또는 CVD 공정에 의해 상기 하부 전극(LE) 위에 유전막(660)을 형성하는 데 있어서, 유전막(660) 형성에 필요한 탄탈럼 화합물을 포함하는 원료 화합물을 비교적 큰 아스펙트비를 가지는 구조물까지 용이하게 운송할 수 있으며, 그에 따라 비교적 큰 아스펙트비를 가지는 하부 전극(LE) 위에 양호한 스텝 커버리지 특성을 가지는 유전막(660)을 형성할 수 있다.
도 7은 본 발명의 기술적 사상에 의한 실시예들에 따른 전자 소자의 요부 구성을 보여주는 블록 다이어그램이다.
전자 소자(1100)는 제어기(1110), 입/출력 장치(1120), 메모리(1130), 및 인터페이스(1140)를 포함한다. 상기 전자 소자(1100)는 모바일 시스템, 또는 정보를 전송하거나 전송받는 시스템일 수 있다. 일부 실시예에서, 상기 모바일 시스템은 PDA (personal digital assistant), 휴대용 컴퓨터, 웹 타블렛, 무선 폰, 모바일 폰, 디지털 뮤직 플레이어, 또는 메모리 카드 중 적어도 하나이다.
일부 실시예들에서, 상기 제어기(1110)는 마이크로프로세서, 디지털 신호 프로세서, 또는 마이크로콘트롤러(micro-controller)이다.
상기 입/출력 장치(1120)는 전자 소자(1100)의 데이터 입출력에 이용된다. 상기 전자 소자(1100)는 상기 입/출력 장치(1120)를 이용하여 외부 장치, 예를 들면 개인용 컴퓨터 또는 네트워크에 연결될 수 있고, 상기 외부 장치와 상호 데이터를 교환할 수 있다. 일부 실시예에서, 상기 입/출력 장치(1120)는 키패드 (keypad), 키보드 (keyboard), 또는 표시 장치 (display)이다.
일부 실시예들에서, 상기 메모리(1130)는 제어기(1110)의 동작을 위한 코드 및/또는 데이터를 저장한다. 다른 일부 실시예들에서, 상기 메모리(1130)는 제어기(1110)에서 처리된 데이터를 저장한다. 상기 제어기(1110) 및 메모리(1130) 중 적어도 하나는 본 발명의 기술적 사상에 의한 박막 형성 방법에 따라 형성된 탄탈럼 함유막, 도 5a 내지 도 5h를 참조하여 설명한 방법에 의해 형성된 집적회로 소자(500), 또는 도 6a 내지 도 6j를 참조하여 설명한 방법에 의해 형성된 집적회로 소자(600)를 포함한다.
상기 인터페이스(1140)는 상기 전자 소자(1100)와, 다른 외부 장치와의 사이에서 데이터 전송 통로 역할을 한다. 상기 제어기(1110), 입/출력 장치(1120), 메모리(1130), 및 인터페이스(1140)는 버스(1150)를 통하여 서로 통신할 수 있다.
상기 전자 소자(1100)는 모바일 폰, MP3 플레이어, 네비게이션 (navigation) 시스템, 휴대용 멀티미디어 재생기 (portable multimedia player: PMP), 고상 디스크 (solid state disk: SSD), 또는 가전 제품 (household appliances)에 포함될 수 있다.
다음에, 본 발명의 기술적 사상에 의한 실시예들에 따른 탄탈럼 화합물의 구체적인 합성예들 및 박막 형성 방법들을 설명한다. 그러나, 본 발명의 기술적 사상이 다음의 예들에 한정되는 것은 아니다.
예 1
화학식 12의 탄탈럼 화합물의 합성
500 mL 4 구 플라스크에 염화탄탈(V) 20.0 g (55.8 mmol), 염화아연 15.2 g (112 mmol), 및 톨루엔 154 g을 가하였다. 여기에 에틸렌 글리콜 디메틸에테르 5.03 g (55.8 mmol)을 적하하고, 1 시간 교반하였다. 이 반응액을 0 ℃로 냉각하면서 이소프로필아민 9.90 g (167 mmol)을 적하하였다. 적하 종료 후, 25 ℃까지 승온시키고, 25 ℃에서 12 시간 교반하였다. 교반 종료 후, 여과하고, 얻어진 액체로부터 용매를 제거하고, 여기에 톨루엔 150 g을 가하여 용액 A를 얻었다.
300 mL 4 구 플라스크를 따로 준비하고, 디이소프로필 아민 10.3 g (102 mmol)과 톨루엔 87 g을 가하고, 0 ℃로 냉각하면서 n-부틸리튬의 n-헥산 용액 64.2 mL (n-부틸리튬 농도: 98.9 mmol)을 적하하였다. 적하 종료 후, 25 ℃에서 4 시간 교반하여 리튬 디이소프로필 아미드 용액 A를 조제하였다.
용액 A를 0 ℃로 냉각하면서 리튬 디이소프로필 아미드 용액 A를 적하하였다. 적하 종료 후, 25 ℃에서 12 시간 교반한 후에 6 시간 환류 교반하였다. 반응액을 여과하고, 여기에 메틸리튬의 디에틸에테르 용액 13.5 mL (메틸리튬의 농도: 15.6 mmol)을 가하고, 25 ℃에서 4 시간 교반하였다. 반응액을 여과하고 용매를 제거한 후, 배쓰(bath) 온도 115 ℃, 50 Pa에서 증류하여 엷은 황색의 투명 액체 5.04 g (수율 19.9 %)을 얻었다.
(분석치)
(1) 상압 TG-DTA (Thermogravimetry - Differential Thermal Analysis)
질량 50 % 감소 온도: 175 ℃ (Ar 유량 100 mL/min, 승온 10 ℃/min, 샘플량: 4.070 mg)
(2) 감압 TG-DTA
질량 50 % 감소 온도: 118 ℃ (10 Torr, Ar 유량 50 mL/min, 승온 10 ℃/min, 샘플량: 10.708 mg)
(3) H-NMR (용매: hexadeuterobenzene) (Chemical chift : 다중도 : H 수)
(4.65 : Sep : 1H) (3.57 : Sep : 4H) (1.48 : d : 6H) (1.27 : d : 12H) (1.19 : d : 12H) (0.45 : s : 3H)
(4) 원소 분석
탄탈럼 함유량 (ICP-AES): 40.8 % (이론치 39.9 %)
C, H, N 함유량: C 41.4 % (이론치 42.4 %), H 7.5 % (이론치 8.5 %), N 8.2 % (이론치 9.3 %)
(5) ASAP-TOF MS: m/z 454.2624 (이론치 454.2624 [M+H])
도 8은 예 1에서 합성한 화학식 12의 탄탈럼 화합물의 TGA (Thermal Gravimetric Analysis) 분석 결과를 나타낸 그래프이다.
도 8의 평가를 위하여, Ar Flow 분위기에서 TGA 분석을 수행하였다. 예 1에서 합성한 탄탈럼 화합물 10 mg으로 10 ℃/min 속도로 승온하였을 때, 192 ℃에서 질량이 50% 감소하였다.
도 9는 예 1에서 합성한 화학식 12의 탄탈럼 화합물의 다른 TGA 분석 결과를 나타낸 그래프이다.
도 9의 평가에서는 본 발명의 기술적 사상에 의한 탄탈럼 화합물의 암모니아와의 반응성을 확인하기 위해 암모니아 환원 가스 분위기에서 TGA 분석하였다. 예 1에서 합성한 탄탈럼 화합물 10 mg으로 0 ℃/min 속도로 승온하였을 때, 약 50 ℃부터 질량이 감소하여 암모니아와 반응함을 확인하였다.
도 10은 예 1에서 합성한 화학식 12의 탄탈럼 화합물의 온도에 따른 점도 측정 결과를 나타낸 그래프이다.
도 10의 평가 결과, 화학식 12의 탄탈럼 화합물의 점도는 상온 25 ℃에서 31.9 mPa-s 이었다.
예 2
화학식 18의 탄탈럼 화합물의 합성
300 mL 4 구 플라스크에 염화탄탈(V) 10.0 g (27.9 mmol), 염화아연 7.61 g (55.8 mmol), 및 톨루엔 77.0 g을 가하였다. 여기에 에틸렌 글리콜 디메틸에테르 2.52 g (27.9 mmol)을 적하하고, 1 시간 교반하였다. 이 반응액을 0 ℃로 냉각하면서 t-부틸아민 6.13 g (83.8 mmol)을 적하하였다. 적하 종료 후, 25 ℃까지 승온시키고, 25 ℃에서 12 시간 교반하였다. 교반 종료 후, 여과하고, 얻어진 액체로부터 용매를 제거하고, 여기에 톨루엔 75 g을 가하여 용액 B를 얻었다.
200 mL 4 구 플라스크를 따로 준비하고, 디이소프로필 아민 5.2 g (51.4 mmol)과 톨루엔 44 g을 가하고, 0 ℃로 냉각하면서 여기에 n-부틸리튬의 n-헥산 용액 32.1 mL (n-부틸리튬 농도: 49.4 mmol)을 적하하였다. 적하 종료 후, 25 ℃에서 4 시간 교반하여 리튬 디이소프로필 아미드 용액 B를 조제하였다.
용액 B를 0 ℃로 냉각하면서 리튬 디이소프로필 아미드 용액 B를 적하하였다. 적하 종료 후, 25 ℃에서 12 시간 교반한 후에 6 시간 환류 교반하였다. 반응액을 여과하고, 여기에 메틸리튬의 디에틸에테르 용액 4.7 mL (메틸리튬의 농도: 5.5 mmol)을 가하고, 25 ℃에서 4 시간 교반하였다. 반응액을 여과하고 용매를 제거한 후, 배쓰 온도 135 ℃, 50 Pa에서 증류하여 엷은 황색의 투명 액체 1.55 g (수율 11.9 %)을 얻었다.
(분석치)
(1) 상압 TG-DTA
질량 50 % 감소 온도: 200 ℃ (Ar 유량 100 mL/min, 승온 10 ℃/min, 샘플량: 10.113 mg)
(2) 감압 TG-DTA
질량 50 % 감소 온도: 122 ℃ (10 Torr, Ar 유량 50 mL/min, 승온 10 ℃/min, 샘플량: 10.063 mg)
(3) H-NMR (용매: hexadeuterobenzene) (Chemical chift : 다중도 : H 수)
(4.65 : Sep : 1H) (3.57 : Sep : 4H) (1.48 : d : 6H) (1.27 : d : 12H) (1.19 : d : 12H) (0.45 : s : 3H)
(4) 원소 분석
탄탈럼 함유량 (ICP-AES): 39.5 % (이론치 38.7 %)
C, H, N 함유량: C 41.4 % (이론치 43.7 %), H 7.5 % (이론치 8.6 %), N 8.1 % (이론치 9.0 %)
(5) ASAP-TOF MS: m/z 468.2782 (이론치 468.2780 [M+H])
예 3
화학식 48의 탄탈럼 화합물의 합성
500 mL 4 구 플라스크에 염화탄탈(V) 20.0 g (55.8 mmol), 염화아연 15.2 g (112 mmol), 및 톨루엔 154 g을 가하였다. 여기에 실온에서 에틸렌 글리콜 디메틸에테르 5.03 g (55.8 mmol)을 적하하고, 1 시간 교반하였다. 이 반응액을 0 ℃로 냉각하면서 이소프로필아민 9.90 g (167 mmol)을 적하하였다. 적하 종료 후, 25 ℃까지 승온시키고, 25 ℃에서 12 시간 교반하였다. 교반 종료 후, 반응액을 여과하고, 얻어진 액체로부터 용매를 제거하고, 여기에 톨루엔 150 g을 가하여 용액 C를 얻었다.
300 mL 4 구 플라스크를 따로 준비하고, 디이소프로필 아민 10.3 g (102 mmol)과 톨루엔 87 g을 가하고, 0 ℃로 냉각하면서 n-부틸리튬의 n-헥산 용액 64.2 mL (n-부틸리튬 농도: 98.9 mmol)을 적하하였다. 적하 종료 후, 25 ℃에서 4 시간 교반하여 리튬 디이소프로필 아미드 용액 C를 조제하였다.
용액 C를 0 ℃로 냉각하면서 리튬 디이소프로필 아미드 용액 C를 적하하였다. 적하 종료 후, 25 ℃에서 12 시간 교반한 후에 6 시간 환류 교반하였다. 반응액을 여과하고, 0 ℃로 냉각하면서 브롬화 이소프로필 마그네슘의 테트라히드로퓨란 용액 21.7 mL (브롬화 이소프로필 마그네슘의 농도: 15.6 mmol)을 가하고, 25 ℃에서 4 시간 교반하였다. 그 후, 6 시간 환류 교반하고, 반응액을 여과하고 용매를 제거한 후, 배쓰 온도 140 ℃, 50 Pa에서 증류하여 엷은 황색의 투명 액체 3.40 g (수율 12.6 %)을 얻었다.
(분석치)
(1) 상압 TG-DTA
질량 50 % 감소 온도: 213 ℃ (Ar 유량 100 mL/min, 승온 10 ℃/min, 샘플량: 9.850 mg)
(2) 감압 TG-DTA
질량 50 % 감소 온도: 130 ℃ (10 Torr, Ar 유량 50 mL/min, 승온 10 ℃/min, 샘플량: 9.775 mg)
(3) H-NMR (용매: hexadeuterobenzene) (Chemical shift : 다중도 : H 수)
(4.65 : Sep : 1H) (3.59 : Sep : 4H) (1.83 : d : 6H) (1.48 : d : 6H) (1.30 : d : 12H) (1.19 : d : 12H) (1.16 : Sep : 1H)
(4) 원소 분석
탄탈럼 함유량 (ICP-AES): 38.2 % (이론치 37.6 %)
C, H, N 함유량: C 42.7 % (이론치 44.9 %), H 8.0 % (이론치 8.8 %), N 7.5 % (이론치 8.7 %)
(5) ASAP-TOF MS: m/z 482.2940 (이론치 482.2937 [M+H])
예 4
탄탈럼 질화막의 형성
예 1 내지 예 3에서 합성한 화학식 12, 화학식 18, 및 화학식 48의 탄탈럼 화합물을 각각 원료로 하고, 도 2a에 예시한 증착 장치를 사용하여, ALD 공정에 의해 실리콘 기판 상에 탄탈럼 질화막을 형성하였다. 탄탈럼 질화막을 형성하기 위한 ALD 공정 조건은 다음과 같았다.
(조건)
반응 온도 (기판 온도): 200 ℃
반응성 가스: NH3 100 %
(공정)
상기와 같은 조건으로 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 250 사이클을 반복하였다.
공정 (1): 원료 용기 가열 온도 70 ℃, 원료 용기 내 압력 100 Pa의 조건으로 기화시킨 화학 기상 성장용 원료의 증기를 도입하여 100 Pa의 압력에서 10 초 동안 퇴적시키는 공정.
공정 (2): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
공정 (3): 반응성 가스를 도입하여, 100 Pa의 압력에서 60 초 동안 반응시키는 공정.
공정 (4): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
상기와 같은 공정을 수행하여 얻어진 탄탈럼 질화막에 X 선 반사율법에 의한 막 두께 측정, X 선 회절법 및 X 선 광전자 분광법에 의한 박막 구조, 및 박막 조성을 확인한 결과, 얻어진 박막은 모두 10 ∼ 15 nm 이었고, 막 조성은 질화 탄탈이었다. 각각의 박막 내에서의 탄소 함유량은 약 3.0 원자% 미만이었다. 그리고, ALD 공정 1 사이클마다 얻어진 두께는 약 0.04 ∼ 0.06 nm 이었다.
도 11은 화학식 12의 탄탈럼 화합물을 이용하여 예 4에서 얻어진 탄탈럼 질화막의 증착 온도에 따른 증착 속도를 확인한 결과를 나타낸 그래프이다.
도 11의 평가 결과, 온도와 관계없이 증착 속도가 일정한 ALD 구간을 확인하였다.
도 12는 화학식 12의 탄탈럼 화합물을 이용하여 예 4에서 얻어진 탄탈럼 질화막의 전구체 공급 시간에 따른 증착 속도를 확인한 결과를 나타낸 그래프이다.
도 12의 평가를 위하여, 반응 챔버 내에 공급되는 탄탈럼 전구체로서 예 1에서 합성한 화학식 12의 탄탈럼 화합물을 사용하고, 반응 챔버 내에 상기 전구체를 공급하는 시간에 따른 증착 속도를 평가하였다. 그 결과, 예 1에서 합성한 화학식 12의 탄탈럼 화합물은 이상적인 ALD 거동을 보여서 전구체 공급 시간 조건을 변경하더라도 동일한 증착 속도가 얻어지는 것을 확인하였다.
도 13은 화학식 12의 탄탈럼 화합물을 이용하여 예 4에서 얻어진 탄탈럼 질화막의 농도 조성 분석을 위하여 XPS (X-ray Photoelectron Spectroscopy) 깊이 방향 원소 분석 (Depth Profile) 결과를 나타낸 그래프이다.
화학식 12의 탄탈럼 화합물을 이용하여 얻어진 탄탈럼 질화막 내의 탄소 원자는 약 3 원자% 미만으로 검출되어, 전구체 분해로 인한 불순물이 발생하지 않았음을 확인하였다.
비교예 1
다음과 같은 비교 화합물 1을 화학 기상 성장용 원료로 하고, 도 2a에 예시한 증착 장치를 사용하여 다음의 조건으로 ALD 공정에 의해 실리콘 기판 상에 탄탈럼 질화막을 형성하였다.
Figure pat00011
(조건)
반응 온도 (기판 온도): 200 ℃
반응성 가스: NH3 100 %
(공정)
상기와 같은 조건으로 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 250 사이클을 반복하였다.
공정 (1): 원료 용기 가열 온도 80 ℃, 원료 용기 내 압력 100 Pa의 조건으로 기화시킨 화학 기상 성장용 원료의 증기를 도입하여 100 Pa의 압력에서 10 초 동안 퇴적시키는 공정.
공정 (2): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
공정 (3): 반응성 가스를 도입하여, 100 Pa의 압력에서 60 초 동안 반응시키는 공정.
공정 (4): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
얻어진 박막에 대하여 X 선 반사율법에 의한 막 두께 측정, X 선 회절법 및 X 선 광전자 분광법에 의한 박막 구조, 및 박막 조성을 확인한 결과, 얻어진 박막의 두께는 5 nm 이었고, 막 조성은 질화 탄탈이었다. 각각의 박막 내에서의 탄소 함유량은 25.0 원자% 이었다. 그리고, ALD 공정 1 사이클마다 얻어진 두께는 약 0.02 nm 이었다.
예 4 및 비교예 1의 결과로부터, 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 ALD 공정용 원료로 사용한 경우, 탄소 함유량이 적어 품질이 좋은 질화 탄탈 박막을 형성할 수 있는 것으로 나타났다.
예 5
탄탈럼 산화막의 형성
예 1 내지 예 3에서 합성한 화학식 12, 화학식 18, 및 화학식 48의 탄탈럼 화합물을 각각 원료로 하고, 도 2a에 예시한 증착 장치를 사용하여, ALD 공정에 의해 실리콘 기판 상에 각각 탄탈럼 산화막을 형성하였다. 탄탈럼 산화막을 형성하기 위한 ALD 공정 조건은 다음과 같았다.
(조건)
반응 온도 (기판 온도): 200 ℃
반응성 가스: 오존 20 질량% + 산소 80 질량%
(공정)
상기와 같은 조건으로 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 250 사이클을 반복하였다.
공정 (1): 원료 용기 가열 온도 70 ℃, 원료 용기 내 압력 100 Pa의 조건으로 기화시킨 화학 기상 성장용 원료의 증기를 도입하여 100 Pa의 압력에서 10 초 동안 퇴적시키는 공정.
공정 (2): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
공정 (3): 반응성 가스를 도입하여, 100 Pa의 압력에서 10 초 동안 반응시키는 공정.
공정 (4): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
상기와 같은 공정을 수행하여 얻어진 탄탈럼 산화막에 X 선 반사율법에 의한 막 두께 측정, X 선 회절법 및 X 선 광전자 분광법에 의한 박막 구조, 및 박막 조성을 확인한 결과, 얻어진 박막은 모두 20 ∼ 30 nm 이었고, 막 조성은 산화 탄탈이었다. 각각의 박막 내에서의 탄소 함유량은 약 0.5 원자% 미만이었다. 그리고, ALD 공정 1 사이클마다 얻어진 두께는 약 0.08 ∼ 0.12 nm 이었다.
예 5의 결과로부터, 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 ALD 공정용 원료로 사용한 경우, 탄소 함유량이 적어 품질이 좋은 질화 탄탈 박막을 형성할 수 있는 것으로 나타났다.
예 6
금속 탄탈 박막의 형성
예 1 내지 예 3에서 합성한 화학식 12, 화학식 18, 및 화학식 48의 탄탈럼 화합물을 각각 원료로 하고, 도 2a에 예시한 증착 장치를 사용하여, ALD 공정에 의해 실리콘 기판 상에 금속 탄탈 박막을 형성하였다. 금속 탄탈 박막을 형성하기 위한 ALD 공정 조건은 다음과 같았다.
(조건)
반응 온도 (기판 온도): 250 ℃
반응성 가스: 수소 가스 100 %
(공정)
상기와 같은 조건으로 다음과 같은 일련의 공정 (1) 내지 공정 (4)를 1 사이클로 하여, 250 사이클을 반복하였다.
공정 (1): 원료 용기 가열 온도 70 ℃, 원료 용기 내 압력 100 Pa의 조건으로 기화시킨 화학 기상 성장용 원료의 증기를 도입하여 100 Pa의 압력에서 10 초 동안 퇴적시키는 공정.
공정 (2): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
공정 (3): 반응성 가스를 도입하여, 100 Pa의 압력에서 60 초 동안 반응시키는 공정.
공정 (4): 10 초 동안 Ar 퍼지에 의해 미반응된 원료를 제거하는 공정.
상기와 같은 공정을 수행하여 얻어진 금속 탄탈 박막에 대하여 X 선 반사율법에 의한 막 두께 측정, X 선 회절법 및 X 선 광전자 분광법에 의한 박막 구조, 및 박막 조성을 확인한 결과, 얻어진 박막은 모두 2 ∼ 7 nm 이었고, 막 조성은 금속 탄탈이었다. 각각의 박막 내에서의 탄소 함유량은 약 5.0 원자% 미만이었다. 그리고, ALD 공정 1 사이클마다 얻어진 두께는 약 0.01 ∼ 0.03 nm 이었다.
예 6의 결과로부터, 본 발명의 기술적 사상에 의한 탄탈럼 화합물을 ALD 공정용 원료로 사용한 경우, 탄소 함유량이 적어 품질이 좋은 금속 탄탈 박막을 형성할 수 있는 것으로 나타났다.
이상, 본 발명을 바람직한 실시예를 들어 상세하게 설명하였으나, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 기술적 사상 및 범위 내에서 당 분야에서 통상의 지식을 가진 자에 의하여 여러가지 변형 및 변경이 가능하다.
500: 집적회로 소자, 522A: 제1 인터페이스막, 522B: 제2 인터페이스막, 524A: 제1 고유전막, 524B: 제2 고유전막, 526A: 제1 식각 정지층, 526B: 제2 식각 정지층, 528: 제1 일함수 조절층, 529: 제2 일함수 조절층, 530A: 제1 갭필 게이트막, 530B: 제2 갭필 게이트막, 600: 집적회로 소자, 660: 유전막.

Claims (20)

  1. 다음 일반식 (I)의 탄탈럼 화합물.
    Figure pat00012

    일반식 (I)에서,
    R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬(alkyl), 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이고,
    R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기임.
  2. 제1항에 있어서,
    상기 탄탈럼 화합물은 상온에서 액체인 것을 특징으로 하는 탄탈럼 화합물.
  3. 제1항에 있어서,
    R1, R3, 및 R4 중 적어도 하나는 이소프로필기인 것을 특징으로 하는 탄탈럼 화합물.
  4. 제1항에 있어서,
    R2는 C1-C5의 직쇄 또는 분기형 알킬기인 것을 특징으로 하는 탄탈럼 화합물.
  5. 제1항에 있어서,
    R1, R3, 및 R4는 각각 독립적으로 C1-C5의 직쇄 또는 분기형 알킬기인 것을 특징으로 하는 탄탈럼 화합물.
  6. 제1항에 있어서,
    R1, R3, 및 R4는 각각 이소프로필기이고, R2는 메틸기인 것을 특징으로 하는 탄탈럼 화합물.
  7. 다음 일반식 (I)의 탄탈럼 화합물을 사용하여 기판 위에 탄탈럼 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
    Figure pat00013

    일반식 (I)에서,
    R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬(alkyl), 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이고,
    R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기임.
  8. 제7항에 있어서,
    상기 탄탈럼 화합물은 상온에서 액체인 것을 특징으로 하는 박막 형성 방법.
  9. 제7항에 있어서,
    상기 탄탈럼 함유막을 형성하는 단계는 상기 탄탈럼 화합물을 단독으로 상기 기판 위에 공급하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  10. 제7항에 있어서,
    상기 탄탈럼 함유막을 형성하는 단계는 탄탈럼과는 다른 금속을 포함하는 전구체 화합물, 반응성 가스, 및 유기 용제 중 적어도 하나와, 상기 탄탈럼 화합물과의 혼합물로 이루어지는 다성분 원료를 상기 기판 위에 공급하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  11. 제10항에 있어서,
    상기 반응성 가스는 NH3, 모노알킬아민 (mono-alkyl amine), 디알킬아민 (di-alkylamine), 트리알킬아민 (tri-alkylamine), 유기 아민 화합물, 히드라진 화합물 (hydrazine compound), 또는 이들의 조합 중에서 선택되는 것을 특징으로 하는 박막 형성 방법.
  12. 제10항에 있어서,
    상기 반응성 가스는 O2, O3, 플라즈마 O2, H2O, NO2, NO, N2O (nitrous oxide), CO2, H2O2, HCOOH, CH3COOH, (CH3CO)2O, 또는 이들의 조합 중에서 선택되는 것을 특징으로 하는 박막 형성 방법.
  13. 제10항에 있어서,
    상기 반응성 가스는 H2 인 것을 특징으로 하는 박막 형성 방법.
  14. 제7항에 있어서,
    상기 탄탈럼 함유막을 형성하는 단계는
    상기 탄탈럼 화합물을 포함하는 소스 가스를 기화시키는 단계와,
    상기 기화된 소스 가스를 상기 기판 상에 공급하여 상기 기판 상에 Ta 소스 흡착층을 형성하는 단계와,
    상기 Ta 소스 흡착층 위에 반응성 가스를 공급하는 단계를 포함하는 것을 특징으로 하는 박막 형성 방법.
  15. 기판 상에 하부 구조물을 형성하는 단계와,
    다음 일반식 (I)
    Figure pat00014

    (일반식 (I)에서,
    R1, R3, 및 R4는 각각 독립적으로 C1-C10의 직쇄 또는 분기형 알킬(alkyl), 알케닐(alkenyl), 알키닐(alkynyl), 또는 C4-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기이고,
    R2는 수소 원자, C1-C10의 직쇄 또는 분기형 알킬, 알케닐, 알키닐, 또는 C6-C20의 치환 또는 비치환된 방향족(aromatic) 또는 지환식 (alicyclic) 탄화수소기임)의 탄탈럼 화합물을 사용하여 상기 하부 구조물 상에 탄탈럼 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  16. 제15항에 있어서,
    상기 탄탈럼 화합물은 상온에서 액체인 것을 특징으로 하는 집적회로 소자의 제조 방법.
  17. 제15항에 있어서,
    상기 하부 구조물을 형성하는 단계는 상기 기판의 일부를 식각하여 상기 기판으로부터 상부로 돌출되는 복수의 핀형 활성 영역을 형성하는 단계와, 상기 복수의 핀형 활성 영역 위에 고유전막을 형성하는 단계를 포함하고,
    상기 탄탈럼 함유막을 형성하는 단계는 상기 복수의 핀형 활성 영역 위에서 상기 고유전막 위에 탄탈럼 질화막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  18. 제17항에 있어서,
    상기 탄탈럼 질화막을 형성하는 단계 후, 상기 복수의 핀형 활성 영역 위에서 상기 탄탈럼 질화막 위에 금속 함유 게이트층을 형성하는 단계를 더 포함하고,
    상기 금속 함유 게이트층을 형성하는 단계는
    상기 복수의 핀형 활성 영역 위에서 상기 탄탈럼 질화막 위에 탄탈럼과는 다른 금속을 포함하는 제1 금속 함유막을 형성하는 단계와,
    상기 탄탈럼 질화막을 식각 정지층으로 이용하여, 상기 복수의 핀형 활성 영역 중 일부의 핀형 활성 영역 위에서 상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계와,
    상기 탄탈럼 질화막의 노출 표면 및 상기 제1 금속 함유막의 상면을 세정하는 단계와,
    상기 탄탈럼 질화막의 노출 표면 및 상기 제1 금속 함유막의 상면을 덮는 제2 금속 함유막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  19. 제18항에 있어서,
    상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계에서는 H2O2를 포함하는 식각 용액을 이용하여 상기 제1 금속 함유막의 일부를 식각하여 상기 탄탈럼 함유막의 일부를 노출시키는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
  20. 제15항에 있어서,
    상기 기판 상에 하부 전극, 유전막, 및 상부 전극을 포함하는 커패시터를 형성하는 단계를 더 포함하고,
    상기 하부 구조물을 형성하는 단계는 상기 기판 상에 상기 커패시터의 하부 전극을 형성하는 단계를 포함하고,
    상기 탄탈럼 함유막을 형성하는 단계는 상기 하부 전극의 표면을 덮는 탄탈럼 산화막을 형성하는 단계를 포함하는 것을 특징으로 하는 집적회로 소자의 제조 방법.
KR1020150182788A 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법 KR102627456B1 (ko)

Priority Applications (6)

Application Number Priority Date Filing Date Title
KR1020150182788A KR102627456B1 (ko) 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US15/298,275 US10134582B2 (en) 2015-12-21 2016-10-20 Tantalum compound and methods of forming thin film and fabricating integrated circuit device by using the same
CN201610994787.7A CN106977540B (zh) 2015-12-21 2016-11-09 钽化合物、制造集成电路器件的方法和集成电路器件
JP2016234316A JP6908991B2 (ja) 2015-12-21 2016-12-01 タンタル化合物、それを利用した薄膜形成方法、及び集積回路素子の製造方法
TW105142135A TWI718220B (zh) 2015-12-21 2016-12-20 鉭化合物、積體電路裝置及其製造方法
US16/183,927 US10651031B2 (en) 2015-12-21 2018-11-08 Tantalum compound

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150182788A KR102627456B1 (ko) 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Publications (2)

Publication Number Publication Date
KR20170073947A true KR20170073947A (ko) 2017-06-29
KR102627456B1 KR102627456B1 (ko) 2024-01-19

Family

ID=59063940

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150182788A KR102627456B1 (ko) 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Country Status (5)

Country Link
US (2) US10134582B2 (ko)
JP (1) JP6908991B2 (ko)
KR (1) KR102627456B1 (ko)
CN (1) CN106977540B (ko)
TW (1) TWI718220B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021045385A3 (ko) * 2019-09-03 2021-05-20 주식회사 유진테크 머티리얼즈 금속 질화물 박막의 형성 방법
KR20210155744A (ko) * 2020-06-16 2021-12-23 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
WO2022114782A1 (ko) * 2020-11-24 2022-06-02 주식회사 레이크머티리얼즈 탄탈 화합물, 이의 제조방법 및 이를 포함하는 탄탈 함유 박막증착용 조성물

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3171394A4 (en) * 2014-07-16 2018-02-14 Nissan Chemical Industries, Ltd. Metal oxide semiconductor layer forming composition, and method for producing metal oxide semiconductor layer using same
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
US10325911B2 (en) * 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US20210033245A1 (en) * 2018-04-09 2021-02-04 Velan Inc. Electronic steam trap
US10879238B2 (en) * 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance finFET and method of fabricating thereof
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
US11524973B2 (en) * 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
CN111534808A (zh) * 2020-05-19 2020-08-14 合肥安德科铭半导体科技有限公司 一种含Ta薄膜的原子层沉积方法及其产物
KR102623858B1 (ko) * 2020-06-04 2024-01-10 삼성전자주식회사 박막 제조용 물질, 이를 이용한 박막의 제조 방법 및 이를 이용한 박막의 제조 설비

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005132756A (ja) * 2003-10-29 2005-05-26 Tosoh Corp タンタル化合物、その製造方法およびタンタル含有薄膜の形成方法
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR20110041498A (ko) * 2008-08-01 2011-04-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
GB9814048D0 (en) 1998-06-30 1998-08-26 Inorgtech Ltd Novel precursors for the growth of heterometal oxide films by MOCVD
US6139922A (en) 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
GB0213925D0 (en) 2002-06-18 2002-07-31 Univ Dundee Metallisation
JP3909320B2 (ja) 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP5053543B2 (ja) * 2005-02-02 2012-10-17 東ソー株式会社 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7736697B2 (en) 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP5096016B2 (ja) 2006-02-14 2012-12-12 東ソー株式会社 タンタル化合物とその製造方法、及びそれを原料とするタンタル含有薄膜とその形成方法
US7750173B2 (en) * 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
KR20120058762A (ko) 2010-11-30 2012-06-08 한국화학연구원 신규의 탄탈 화합물 및 그 제조 방법
KR20130049020A (ko) 2011-11-03 2013-05-13 솔브레인씨그마알드리치 유한회사 탄탈륨 전구체 화합물 및 이의 제조방법
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005132756A (ja) * 2003-10-29 2005-05-26 Tosoh Corp タンタル化合物、その製造方法およびタンタル含有薄膜の形成方法
KR20110041498A (ko) * 2008-08-01 2011-04-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021045385A3 (ko) * 2019-09-03 2021-05-20 주식회사 유진테크 머티리얼즈 금속 질화물 박막의 형성 방법
CN114341396A (zh) * 2019-09-03 2022-04-12 株式会社Egtm 金属氮化物薄膜的形成方法
US20220333243A1 (en) * 2019-09-03 2022-10-20 Egtm Co., Ltd. Method for forming metal nitride thin film
KR20210155744A (ko) * 2020-06-16 2021-12-23 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
WO2022114782A1 (ko) * 2020-11-24 2022-06-02 주식회사 레이크머티리얼즈 탄탈 화합물, 이의 제조방법 및 이를 포함하는 탄탈 함유 박막증착용 조성물

Also Published As

Publication number Publication date
US10651031B2 (en) 2020-05-12
TW201736388A (zh) 2017-10-16
JP6908991B2 (ja) 2021-07-28
KR102627456B1 (ko) 2024-01-19
CN106977540B (zh) 2020-07-14
JP2017114850A (ja) 2017-06-29
TWI718220B (zh) 2021-02-11
US20170178961A1 (en) 2017-06-22
US10134582B2 (en) 2018-11-20
US20190074175A1 (en) 2019-03-07
CN106977540A (zh) 2017-07-25

Similar Documents

Publication Publication Date Title
KR102627456B1 (ko) 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10752645B2 (en) Method of forming a thin film
JP7185394B2 (ja) スズ化合物、その合成方法、ald用スズ前駆体化合物、及びスズ含有物質膜の形成方法
TWI809054B (zh) 鑭化合物、形成薄膜的方法及製造積體電路裝置的方法
KR102442621B1 (ko) 니오븀 화합물을 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
KR102592325B1 (ko) 알루미늄 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10913754B2 (en) Lanthanum compound and methods of forming thin film and integrated circuit device using the lanthanum compound
JP2018048113A (ja) アルミニウム化合物、及びそれを利用した薄膜形成方法、並びに集積回路素子の製造方法
KR102627457B1 (ko) 나이오븀 화합물과 이를 이용하는 박막 형성 방법
KR20210117121A (ko) 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant