CN106977540A - 钽化合物、制造集成电路器件的方法和集成电路器件 - Google Patents

钽化合物、制造集成电路器件的方法和集成电路器件 Download PDF

Info

Publication number
CN106977540A
CN106977540A CN201610994787.7A CN201610994787A CN106977540A CN 106977540 A CN106977540 A CN 106977540A CN 201610994787 A CN201610994787 A CN 201610994787A CN 106977540 A CN106977540 A CN 106977540A
Authority
CN
China
Prior art keywords
film
tantalum
compound
forming
tantalum compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610994787.7A
Other languages
English (en)
Other versions
CN106977540B (zh
Inventor
柳承旻
林载顺
曹仑廷
小出幸宜
山田直树
白鸟翼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Adeka Corp
Samsung Electronics Co Ltd
Original Assignee
Adeka Corp
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Adeka Corp, Samsung Electronics Co Ltd filed Critical Adeka Corp
Publication of CN106977540A publication Critical patent/CN106977540A/zh
Application granted granted Critical
Publication of CN106977540B publication Critical patent/CN106977540B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)

Abstract

钽化合物、制造集成电路器件的方法、和集成电路器件,所述钽化合物由以下通式(I)表示,其中R1、R3和R4各自独立地为C1‑C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4‑C20取代或未取代的芳族或脂环族烃基;和R2为氢原子,C1‑C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6‑C20取代或未取代的芳族或脂环族烃基。

Description

钽化合物、制造集成电路器件的方法和集成电路器件
相关申请的交叉引用
将在韩国知识产权局于2015年12月21日提交并且题为“钽化合物和通过使用其形成薄膜及制造集成电路器件的方法”的韩国专利申请No.10-2015-0182788全部通过参考引入本文中。
技术领域
实施方式涉及钽化合物和通过使用其形成薄膜及制造集成电路器件的方法。
背景技术
由于电子技术的发展,半导体器件的小型化近年来已被快速地实施。因而,构成电子设备的图案正变得更精细。
发明内容
实施方式涉及钽化合物和通过使用其形成薄膜及制造集成电路器件的方法。
所述实施方式可通过提供由以下通式(I)表示的钽化合物实现:
其中,在通式(I)中,R1、R3、和R4各自独立地为C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4-C20取代或未取代的芳族或脂环族烃基;且R2为氢原子,C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6-C20取代或未取代的芳族或脂环族烃基。
所述实施方式可通过提供形成薄膜的方法实现,所述方法包括通过使用由以下通式(I)表示的钽化合物在基底上形成包含钽的膜:
其中,在通式(I)中,R1、R3、和R4各自独立地为C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4-C20取代或未取代的芳族或脂环族烃基;且R2为氢原子,C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6-C20取代或未取代的芳族或脂环族烃基。
所述实施方式可通过提供制造集成电路器件的方法实现,所述方法包括:在基底上形成下部结构(结构体);和通过使用由以下通式(I)表示的钽化合物在所述下部结构上形成包含钽的膜:
其中,在通式(I)中,R1、R3、和R4各自独立地为C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4-C20取代或未取代的芳族或脂环族烃基;且R2为氢原子,C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6-C20取代或未取代的芳族或脂环族烃基。
附图说明
通过参照附图详细地描述示例性实施方式,特征对于本领域技术人员而言将是明晰的,其中:
图1说明根据实施方式的形成薄膜的方法的流程图;
图2A-2D说明根据实施方式的能够用于形成薄膜的工艺的示例性沉积装置的构造的示意图;
图3说明根据实施方式的形成包含钽的膜的示例性方法的流程图;
图4A-4C说明显示根据实施方式的集成电路器件的图。图4A说明包括具有FinFET结构的第一和第二晶体管的集成电路器件的主要部件的透视图,图4B说明沿着图4A的线B1-B1'和B2-B2'所取的横截面图,且图4C说明沿着图4A的线C1-C1'和C2-C2'所取的横截面图;
图5A-5H说明根据实施方式的制造集成电路器件的方法中的阶段的横截面图;
图6A-6J说明根据实施方式的制造集成电路器件的方法中的阶段的横截面图;
图7说明显示根据实施方式的电子设备的主要部件的示意性框图;
图8说明显示根据实施方式的钽化合物的热重分析(TGA)的结果的图;
图9说明显示根据实施方式的钽化合物的TGA的其它结果的图;
图10说明显示根据实施方式的钽化合物的随着温度的粘度的测量结果的图;
图11说明显示通过使用根据实施方式的钽化合物形成的氮化钽膜的随着沉积温度的沉积速率的测量结果的图;
图12说明显示通过使用根据实施方式的钽化合物形成的氮化钽膜的随着前体供应时间的沉积速率的测量结果的图;和
图13说明显示用于分析通过使用根据实施方式的钽化合物形成的氮化钽膜的成分的浓度的X-射线光电子能谱法(XPS)深度分布结果的图。
具体实施方式
根据实施方式,钽化合物可由以下通式(I)表示。
在通式(I)中,R1、R3、和R4可各自独立地为或包括例如C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4-C20取代或未取代的芳族或脂环族烃基。R2可为或可包括例如氢原子,C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6-C20取代或未取代的芳族或脂环族烃基。
在一种实施中,R1、R3、和R4可各自独立地为例如C1-C10直链或支化的烷基。在一种实施中,R1、R3、和R4可各自独立地为例如C1-C5直链或支化的烷基或C1-C4直链或支化的烷基。
在一种实施中,R2可为例如C1-C10直链或支化的烷基。在一种实施中,R2可为例如C1-C5直链或支化的烷基或C1-C3直链或支化的烷基。
在一种实施中,由通式(I)表示的钽化合物在室温下或在环境条件下可为液体。例如,由通式(I)表示的钽化合物在25℃和1大气压(atm)下可为液体。
在一种实施中,R1、R2、R3、和R4可各自独立地为例如直链或支化的烷基如甲基、乙基、丙基、异丙基、丁基、异丁基、仲丁基、叔丁基、戊基、异戊基、仲戊基、或叔戊基。在一种实施中,R1、R2、R3、和R4的至少一个可为例如脂环族烷基如戊烷基。
当R1为支链仲烷基时,所述钽化合物可呈现出改善的稳定性和增加的蒸气压。所述支链仲烷基的实例可包括异丙基、仲丁基、和仲戊基。在一种实施中,为了增加所述钽化合物的蒸气压,R1可为异丙基或仲丁基。
当R2为直链伯烷基时,所述钽化合物可呈现出改善的稳定性和增加的蒸气压。所述直链伯烷基的实例可包括甲基、乙基、丙基、丁基、和戊基。在一种实施中,为了增加所述钽化合物的蒸气压,R2可为甲基或乙基。当R2为甲基时,所述钽化合物可促进通过使用原子层沉积(ALD)工艺形成包含钽的薄膜的工艺形成包括极低量的碳残余物的包含钽的膜。
当R3为支链仲烷基时,所述钽化合物可呈现出改善的稳定性和增加的蒸气压。所述支链仲烷基的实例可包括异丙基、仲丁基、和仲戊基。在一种实施中,为了增加所述钽化合物的蒸气压,R3可为异丙基或仲丁基。
在一种实施中,所述钽化合物可包括由以下式1-54之一表示的钽化合物。
所述钽化合物可通过合适的方法制备。例如,可通过使用催化剂使氯化钽与烷基二醇醚化合物反应。接着,可使所获得的所得产物与烷基胺反应,然后与烷基酰胺锂等反应,由此获得所述钽化合物。
图1说明根据实施方式的形成薄膜的方法的流程图。
参照图1,在过程P12中,可准备基底。
基底可具有如下参照图4A-4C关于基底510所述的构造。
在图1的过程P14中,可通过使用用于形成薄膜的原材料在所述基底上形成包含钽的膜。所述原材料可包括由通式(I)表示的钽化合物。
在一种实施中,用在过程P14中的用于形成薄膜的原材料中包括的钽化合物在25℃和1大气压(atm)下可为液体。
在一种实施中,用在过程P14中的钽化合物可包括由式1-54表示的钽化合物的至少一种。
在根据实施方式的形成薄膜的方法中,用于形成薄膜的原材料可包括如上阐述的钽化合物。用于形成薄膜的原材料可随着意图形成的薄膜而改变。在一种实施中,当将要制造仅包括Ta的薄膜时,用于形成薄膜的原材料可不包含除所述钽化合物之外的金属化合物和半金属化合物。在一种实施中,当将要制造包括两种或更多种金属和/或半金属的薄膜时,除所述钽化合物之外,用于形成薄膜的原材料可包括包含期望的金属或半金属的化合物(在下文中称作术语“另外的前体”)。在一种实施中,除所述钽化合物之外,用于形成薄膜的原材料可包括有机溶剂或亲核试剂。
包括所述钽化合物的用于形成薄膜的原材料就其性质而言可适宜地用于化学气相沉积(CVD)和ALD工艺。
当用于形成薄膜的原材料为用于在CVD工艺中的原材料时,用于形成薄膜的原材料的组成可根据CVD工艺的具体方法、原材料转移方法等进行选择。
原材料转移方法可包括气体转移方法和液体转移方法。在气体转移方法中,通过经由在其中储存用于CVD的原材料的容器(在下文中其可称作术语“原材料容器”)中的加热或减压来气化所述原材料而使所述原材料处于蒸气状态,并且可将蒸气状态的原材料和载气(例如,在需要时使用的氩气、氮气、氦气等)一起引入基底放置于其中的室(在下文中其可称作术语“沉积反应器”)中。在液体转移方法中,用于CVD的原材料可以液体或溶液状态转移到气化器,并且通过如下制成蒸气:通过在气化器中的加热和/或减压使所述原材料气化,随后将蒸气引入室中。在气体转移方法中,由通式(I)表示的钽化合物自身可用作CVD原材料。在一种实施中,CVD原材料可进一步包括另外的前体、亲核试剂等。
在一种实施中,在形成薄膜的方法中,为了形成包含钽的膜,可使用多组分CVD工艺。在多组分CVD工艺中,可使用对于各组分独立地供应将用于CVD工艺的原材料化合物的方法(在下文中,所述方法可称作术语“单源方法”)、或通过使其中多组分原材料混合至期望的组成的原材料混合物气化而供应多组分原材料的方法(在下文中,所述方法可称作术语“多组分源方法”)。当使用多组分源方法时,可使用包括所述钽化合物的第一混合物、其中第一混合物溶解于有机溶剂中的第一混合溶液、包括所述钽化合物和另外的前体的第二混合物、或其中第二混合物溶解于有机溶剂中的第二混合溶液作为在CVD工艺中的用于形成薄膜的原材料化合物。第一和第二混合物以及第一和第二混合溶液各自可进一步包括亲核试剂。
在一种实施中,有机溶剂可包括例如乙酸酯如乙酸乙酯和乙酸甲氧基乙酯;醚如四氢呋喃、四氢吡喃、乙二醇二甲基醚、一缩二乙二醇二甲基醚、二缩三乙二醇二甲基醚、二丁基醚、和二氧六环;酮如甲基丁基酮、甲基异丁基酮、乙基丁基酮、二丙基酮、二异丁基酮、甲基戊基酮、环己酮、和甲基环己酮;烃如己烷、环己烷、甲基环己烷、二甲基环己烷、乙基环己烷、庚烷、辛烷、甲苯、和二甲苯;包含氰基的烃如1-氰基丙烷、1-氰基丁烷、1-氰基己烷、氰基环己烷、氰基苯、1,3-二氰基丙烷、1,4-二氰基丁烷、1,6-二氰基己烷、1,4-二氰基环己烷、和1,4-二氰基苯;吡啶;二甲基吡啶等。以上阐述作为实例的有机溶剂可通过考虑如下而单独或组合使用:溶质的溶解性、其使用温度和其熔点、其闪点等。在一种实施中,在有机溶剂中,所述钽化合物和另外的前体的总浓度可为约0.01摩尔/L-约2.0摩尔/L,例如,约0.05摩尔/L-约1.0摩尔/L。这里,当用于形成薄膜的原材料不包括除所述钽化合物之外的金属化合物和半金属化合物时,所述钽化合物和另外的前体的总浓度指的是所述钽化合物的浓度,和当用于形成薄膜的原材料除所述钽化合物之外进一步包括包含不同于钽的金属的化合物或包含半金属的化合物时,所述钽化合物和另外的前体的总浓度指的是所述钽化合物和所述另外的前体的量之和。
在形成薄膜的方法中,当使用多组分CVD工艺形成包含钽的膜时,能够与所述钽化合物一起使用的所述另外的前体可包括能够在CVD工艺中用作原材料的合适的前体。
在一种实施中,(能够用在形成薄膜的方法中的)所述另外的前体可包括Si或金属化合物例如具有如下作为配体的化合物:氢根、氢氧根、卤根、叠氮基、烷基、烯基、环烷基、烯丙基、炔基、氨基、二烷基氨基烷基、单烷基氨基、二烷基氨基、二氨基、二(甲硅烷基-烷基)氨基、二(烷基-甲硅烷基)氨基、二甲硅烷基氨基、烷氧基、烷氧基烷基、酰肼基、磷基、腈基、二烷基氨基烷氧基、烷氧基烷基二烷基氨基、甲硅烷氧基、二酮基、环戊二烯基、甲硅烷基、吡唑基、胍基、磷酸胍基、脒基、磷酸脒基(phosphoamidinate)、酮亚胺基、二酮亚胺基、和羰基。
在一种实施中,所述前体中包括的金属可包括例如镁(Mg)、钙(Ca)、锶(Sr)、钡(Ba)、镭(Ra)、钪(Sc)、钇(Y)、钛(Ti)、锆(Zr)、铪(Hf)、钒(V)、铌(Nb)、铬(Cr)、钼(Mo)、钨(W)、锰(Mn)、铁(Fe)、锇(Os)、钴(Co)、铑(Rh)、铱(Ir)、镍(Ni)、钯(Pd)、铂(Pt)、铜(Cu)、银(Ag)、金(Au)、锌(Zn)、镉(Cd)、铝(Al)、镓(Ga)、铟(In)、锗(Ge)、锡(Sn)、铅(Pb)、锑(Sb)、铋(Bi)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钷(Pm)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)、镱(Yb)等。
在一种实施中,当使用醇化合物作为有机配体时,所述前体可通过使以上阐述的金属的无机盐或其水合物与所述醇化合物的碱金属醇盐反应而制备。在一种实施中,所述金属的无机盐或其水合物的实例可包括所述金属的卤化物、硝酸盐等,且所述碱金属醇盐的实例可包括醇钠、醇锂、醇钾等。
在单源方法中,作为所述另外的前体,可使用呈现出与所述钽化合物的那些类似的热和/或氧化性分解行为的化合物。另外,在多组分源方法中,使用呈现出与所述钽化合物的那些类似的热和/或氧化性分解行为并且在其混合时不通过化学反应而改变的化合物作为所述另外的前体是合适的。
在所述另外的前体的实例之中,包含Ti、Zr、或Hf的前体的实例可包括由式(II-1)到(II-5)表示的化合物:
在上式(II-1)到(II-5)中,M1可为例如Ti、Zr、或Hf。
Ra和Rb可各自独立地为或包括例如可被卤素原子取代和可在链中包括氧原子的C1-C20烷基。
Rc可为或包括例如C1-C8烷基。Rd可为或包括例如C2-C18直链或支化的亚烷基。Re和Rf可各自独立地为例如氢原子或C1-C3烷基。Rg、Rh、Rj、和Rk可各自独立地为例如氢原子或C1-C4烷基。p可为例如0-4的整数。q可为例如0或2。r可为例如0-3的整数。s可为例如0-4的整数。t可为例如1-4的整数。
在一种实施中,在式(II-1)至(II-5)中,Ra和Rb可各自独立地为或包括例如甲基、乙基、丙基、异丙基、丁基、仲丁基、叔丁基、异丁基、戊基、异戊基、仲戊基、叔戊基、己基、庚基、3-庚基、异庚基、叔庚基、正辛基、异辛基、叔辛基、2-乙基己基、三氟甲基、全氟己基、2-甲氧基乙基、2-乙氧基乙基、2-丁氧基乙基、2-(2-甲氧基乙氧基)乙基、1-甲氧基-1,1-二甲基甲基、2-甲氧基-1,1-二甲基乙基、2-乙氧基-1,1-二甲基乙基、2-异丙氧基-1,1-二甲基乙基、2-丁氧基-1,1-二甲基乙基、或2-(2-甲氧基乙氧基)-1,1-二甲基乙基。
在一种实施中,在式(II-1)到(II-5)中,Rc可为或包括例如甲基、乙基、丙基、异丙基、丁基、仲丁基、叔丁基、异丁基、戊基、异戊基、仲戊基、叔戊基、己基、1-乙基戊基、庚基、异庚基、叔庚基、正辛基、异辛基、叔辛基、或2-乙基己基。
在一种实施中,在式(II-1)到(II-5)中,Rd可为例如通过或者由二醇获得的基团。在一种实施中、Rd可为或包括例如由1,2-乙二醇、1,2-丙二醇、1,3-丁二醇、2,4-己二醇、2,2-二甲基-1,3-丙二醇、2,2-二乙基-1,3-丙二醇、2,2-二乙基-1,3-丁二醇、2-乙基-2-丁基-1,3-丙二醇、2,4-戊二醇、2-甲基-1,3-丙二醇、或1-甲基-2,4-戊二醇获得的基团。
在一种实施中,在式(II-1)到(II-5)中,Re和Rf可各自独立地为或包括例如甲基、乙基、丙基、或2-丙基。
在一种实施中,在式(II-1)到(II-5)中,Rg、Rh、Rj、和Rk可各自独立地为或包括例如甲基、乙基、丙基、异丙基、丁基、仲丁基、叔丁基、或异丁基。
在一种实施中,所述包含Ti的前体可包括例如四烷氧基钛化合物如四(乙氧基)钛、四(2-丙氧基)钛、四(丁氧基)钛、四(仲丁氧基)钛、四(异丁氧基)钛、四(3-丁氧基)钛、四(叔戊氧基)钛、和四(1-甲氧基-2-甲基-2-丙氧基)钛;四β-二酮钛化合物如四(戊烷-2,4-二酮)钛、四(2,6-二甲基庚烷-3,5-二酮)钛、和四(2,2,6,6-四甲基庚烷-3,5-二酮)钛;双(烷氧基)双(β-二酮)钛化合物如双(甲氧基)双(戊烷-2,4-二酮)钛、双(乙氧基)双(戊烷-2,4-二酮)钛、双(叔丁氧基)双(戊烷-2,4-二酮)钛、双(甲氧基)双(2,6-二甲基庚烷-3,5-二酮)钛、双(乙氧基)双(2,6-二甲基庚烷-3,5-二酮)钛、双(2-丙氧基)双(2,6-二甲基庚烷-3,5-二酮)钛、双(叔丁氧基)双(2,6-二甲基庚烷-3,5-二酮)钛、双(叔戊氧基)双(2,6-二甲基庚烷-3,5-二酮)钛、双(甲氧基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛、双(乙氧基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛、双(2-丙氧基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛、双(3-丁氧基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛、和双(叔戊氧基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛;二醇氧基(glycoxy)双(β-二酮)钛如(2-甲基戊烷二羟基)双(2,2,6,6-四甲基庚烷-3,5-二酮)钛和(2-甲基戊烷二羟基)双(2,6-二甲基庚烷-3,5-二酮)钛;(环戊二烯基)三(二烷基氨基)钛如(甲基环戊二烯基)三(二甲基氨基)钛、(乙基环戊二烯基)三(二甲基氨基)钛、(环戊二烯基)三(二甲基氨基)钛、(甲基环戊二烯基)三(乙基甲基氨基)钛、(乙基环戊二烯基)三(乙基甲基氨基)钛、(环戊二烯基)三(乙基甲基氨基)钛、(甲基环戊二烯基)三(二乙基氨基)钛、(乙基环戊二烯基)三(二乙基氨基)钛、和(环戊二烯基)三(二乙基氨基)钛;(环戊二烯基)三(烷氧基)钛如(环戊二烯基)三(甲氧基)钛、(甲基环戊二烯基)三(甲氧基)钛、(乙基环戊二烯基)三(甲氧基)钛、(丙基环戊二烯基)三(甲氧基)钛、(异丙基环戊二烯基)三(甲氧基)钛、(丁基环戊二烯基)三(甲氧基)钛、(异丁基环戊二烯基)三(甲氧基)钛、(叔丁基环戊二烯基)三(甲氧基)钛、和(五甲基环戊二烯基)三(甲氧基)钛等。
所述包含Zr的前体和所述包含Hf的前体的实例可包括通过用锆或铪代替以上作为包含Ti的前体的实例阐述的化合物的钛而获得的化合物。
包含稀土元素的前体的实例可包括由式(III-1)到(III-3)表示的化合物。
在式(III-1)到(III-3)中,M2可为例如稀土元素。Ra和Rb可各自独立地为或包括例如可被卤素原子取代和可在链中包括氧原子的C1-C20烷基。Rc可为或可包括例如C1-C8烷基。Re和Rf可各自独立地为或包括例如氢原子或C1-C3烷基。Rg和Rj可各自独立地为或包括例如C1-C4烷基。p'可为例如0-3的整数。r'可为例如0-2的整数。
在由式(III-1)到(III-3)表示的包含稀土元素的前体中,由M2表示的稀土元素可为例如钪(Sc)、钇(Y)、镧(La)、铈(Ce)、镨(Pr)、钕(Nd)、钷(Pm)、钐(Sm)、铕(Eu)、钆(Gd)、铽(Tb)、镝(Dy)、钬(Ho)、铒(Er)、铥(Tm)、或镱(Yb)。在式(III-1)到(III-3)中,Ra、Rb、Rc、Re、Rf、Rg、和Rj各自可与参照式(II-1)到(II-5)描述的相同。
在形成薄膜的方法中,用于形成薄膜的原材料可包括亲核试剂以赋予所述钽化合物和所述另外的前体以稳定性。在一种实施中,能够包括在用于形成薄膜的原材料中的亲核试剂的实例可包括乙二醇醚如甘醇二甲醚、二甘醇二甲醚、三甘醇二甲醚、和四甘醇二甲醚;冠醚如18-冠-6、二环己基-18-冠-6、24-冠-8、二环己基-24-冠-8、和二苯并-24-冠-8;多元胺如亚乙基二胺、N,N,N',N'-四甲基亚乙基二胺、二亚乙基三胺、三亚乙基四胺、四亚乙基五胺、五亚乙基六胺、1,1,4,7,7-五甲基二亚乙基三胺、1,1,4,7,10,10-六甲基三亚乙基四胺、和三乙氧基三亚乙基四胺;环状多元胺如1,4,8,11-四氮杂环十四烷和1,4,7,10-四氮杂环十二烷;杂环化合物如吡啶、吡咯烷、哌啶、吗啉、N-甲基吡咯烷、N-甲基哌啶、N-甲基吗啉、四氢呋喃、四氢吡喃、1,4-二氧六环、唑、噻唑、和氧杂(氧代)四氢噻吩;β-酮酯如乙酰乙酸甲酯、乙酰乙酸乙酯、和乙酰乙酸2-甲氧基乙酯;和β-二酮如乙酰丙酮、2,4-己二酮、2,4-庚二酮、3,5-庚二酮、和二新戊酰基甲烷。
在一种实施中,所述亲核试剂可以约0.1摩尔-约10摩尔、例如约1摩尔-约4摩尔的量存在,基于1摩尔的前体的总量。
在形成薄膜的方法中使用的用于形成薄膜的原材料中,尽可能地抑制金属元素、卤素如氯、有机材料等杂质的量可为合乎需要的。在一种实施中,金属元素杂质的每一种可以约100ppb或更少的量存在于用于形成薄膜的原材料中。在一种实施中,用于形成薄膜的原材料可包括约10ppb或更少的金属元素杂质的每一种,且金属杂质的总量可为约1ppm或更少、例如约100ppb或更少。在一种实施中,当形成用作大规模集成(LSI)电路的栅绝缘膜、栅导电膜、或阻挡膜的薄膜时,可尽可能地减少影响获得的薄膜的电性质的碱金属和碱土金属元素的量。在一种实施中,卤素杂质可以约100ppm或更少、例如约10ppm或更少的量存在于所述用于形成薄膜的原材料中。
在一种实施中,有机成分杂质可以约500ppm或更少、例如约50ppm或更少、或约10ppm或更少的量存在于用于形成薄膜的原材料中。
如果在用于形成薄膜的原材料中存在水分,则水分可导致在用于CVD的原材料中的颗粒的产生、或在薄膜的形成期间的颗粒的产生。因此,可在金属化合物、有机溶剂、和亲核试剂的使用之前预先从其除去水分。水分可以约10ppm或更少、例如约1ppm或更少的量存在于金属化合物、有机溶剂、和亲核试剂的每一种中。
为了减少在意图形成的薄膜中的颗粒污染,可使用于形成薄膜的原材料中的颗粒的量最小化。在一种实施中,当通过光散射型颗粒探测器进行液体中的颗粒测量时,在用于形成薄膜的原材料中,每1ml的液体状态原材料的(具有大于0.3μm的尺寸的)颗粒的数量可为100或更少,且每1ml的液体状态原材料的(具有大于0.2μm的尺寸的)颗粒的数量可为1000或更少、例如100或更少。
在形成薄膜的方法中,为了通过使用用于形成薄膜的原材料制造薄膜,可进行CVD工艺,其中将通过使用于形成薄膜的原材料气化获得的蒸气和如果需要的反应性气体引入包括放置于其中的基底的室中,并且通过在基底上进行前体的分解和化学反应而在基底上生长或沉积包含钽的薄膜。这里,供应用于形成薄膜的原材料的方法、沉积方法、制造条件、制造装置等没有特别限制,且可使用合适的条件和方法。
根据实施方式的钽化合物可有用地用于对于集成电路器件的制造所需的形成薄膜的工艺。例如,所述钽化合物可用作用于CVD或ALD工艺的Ta前体。
图2A-2D说明显示根据实施方式的能够用于形成薄膜的工艺的示例性沉积装置200A、200B、200C、和200D的构造的示意图。
在图2A-2D中显示作为实例的沉积装置200A、200B、200C、和200D各自包括流体转移单元210、其中通过使用从流体转移单元210中的原材料容器212供应的工艺气体进行用于在基底W上形成薄膜的沉积工艺的薄膜形成单元250、和用于排出在用于薄膜形成单元250中的反应之后残留的气体或排出反应副产物的排放系统270。
薄膜形成单元250可包括反应室254,反应室254包括支撑基底W的基座252。用于将通过流体转移单元210供应的气体供应到基底W上的喷淋头256可安装在反应室254内部的上部末端部分中。
流体转移单元210可包括用于从沉积装置各自的外部将载气供应到原材料容器212的流入管线222、和用于将原材料容器212中包含的原材料化合物供应到薄膜形成单元250的流出管线224。阀V1和V2以及质量流量控制器(MFC)M1和M2可分别安装至流入管线222和流出管线224。流入管线222和流出管线224可通过旁路管线226彼此连接。阀V3可安装至旁路管线226。阀V3可通过电动马达或另外的可遥控装置气动地操作。
从原材料容器212供应的原材料可通过薄膜形成单元250的流入管线266(其连接到流体转移单元210的流出管线224)供应到反应室254。在需要时,从原材料容器212供应的原材料可与通过流入管线268供应的载气一起供应到反应室254中。阀V4和MFC M3可安装到载气流动通过其的流入管线268。
薄膜形成单元250可包括用于将吹扫(净化)气体供应到反应室254中的流入管线262、和用于将反应性气体供应到反应室254中的流入管线264。阀V5和V6以及MFC M4和M5可分别安装到流入管线262和264。
可将反应室254中的所使用的工艺气体和废弃反应副产物通过排放系统270排出到沉积装置各自的外部。排放系统270可包括连接到反应室254的排放管线272、和安装到排放管线272的真空泵274。真空泵274可移除从反应室254排出的工艺气体和废弃反应副产物。
阱276可在真空泵274的上游侧安装到排放管线272。阱276可俘获由在反应室中未完全反应的工艺气体产生的反应副产物,且因而容许反应副产物不流入在阱276的下游侧的真空泵274中。
在形成薄膜的方法中,可使用由通式(I)表示的钽化合物作为原材料化合物。在一种实施中,所述钽化合物可在室温下(例如,在环境条件下)以液体状态存在且可与另外的工艺气体例如反应性气体如还原性气体或氧化性气体反应。因而,安装到排放管线272的阱276可通过俘获反应副产物而容许由于工艺气体之间的反应产生的反应副产物不流到阱276的下游。阱276可通过冷却器或通过水冷却进行冷却。
在一种实施中,旁路管线278和自动压力控制器280可在阱276的上游侧安装到排放管线272。阀V7和V8可分别安装到旁路管线278和排放管线272的平行于旁路管线278延伸的部分。
如图2A和2C中的沉积装置200A和200C中所示,加热器214可安装到原材料容器212。原材料容器212中包含的原材料化合物可通过加热器214保持在相对高的温度。
如图2B和2D中的沉积装置200B和200D中所示,气化器258可安装到流入管线266或薄膜形成单元250。气化器258可使通过流体转移单元210以液体状态供应的流体气化并且将气化的原材料化合物供应到反应室254中。在气化器258中气化的原材料化合物可与通过流入管线268供应的载气一起供应到反应室254中。可通过阀V9控制通过气化器258供应到反应室254中的原材料化合物的流入。
在一种实施中,如图2C和2D中的沉积装置200C和200D中所示,薄膜形成单元250可包括高频电源292和RF匹配系统294以在反应室254内部产生等离子体。
在一种实施中,在图2A-2D中的沉积装置200A、200B、200C、和200D中,一个原材料容器212可连接到反应室254。在一种实施中,流体转移单元210可在需要时包括多个原材料容器212,并且多个原材料容器212各自可连接到反应室254。
在一种实施中,为了根据形成薄膜的方法在基底W上形成包含钽的膜,可使用图2A-2D中显示的沉积装置200A、200B、200C、和200D之一。
在一种实施中,为了根据图1的过程P14在基底上形成包含钽的膜,可以各种方法转移由通式(I)表示的钽化合物以将其供应到薄膜形成装置的反应室中,例如,图2A-2D中显示的沉积装置200A、200B、200C、和200D各自的反应室254中。
在一种实施中,为了使用由通式(I)表示的钽化合物通过CVD工艺形成薄膜,可使用气体转移方法,其中将所述钽化合物通过在原材料容器212中的加热和/或减压气化,随后将气化的钽化合物供应到反应室254中,如果需要,与载气如Ar、N2、He等一起供应到反应室254中。当使用气体转移方法时,钽化合物自身可用作在CVD工艺中用于形成薄膜的原材料化合物。
在一种实施中,为了使用所述钽化合物通过CVD工艺形成薄膜,可使用液体转移方法,其中将所述钽化合物以液体或溶液状态转移到气化器258,随后通过在气化器258中的加热和/或减压使所述钽化合物气化,然后将所述钽化合物供应到反应室254中。当使用液体转移方法时,钽化合物自身、或其中所述钽化合物溶解于有机溶剂中的溶液可用作在CVD工艺中用于形成薄膜的原材料化合物。
在形成薄膜的方法中,可通过使用由通式(I)表示的钽化合物在图2A-2D中显示的沉积装置200A、200B、200C、和200D之一中形成包含钽的膜。为此目的,例如,为了根据图1的过程P14形成包含钽的膜,可将所述钽化合物供应到保持在约100℃-约1,000℃的温度下和约10Pa到大气或环境压力(例如,约1atm)的压力下的反应室254中。在一种实施中,所述钽化合物可单独供应到基底W上。在一种实施中,为了形成所述包含钽的膜,可将多组分原材料(包括前体化合物、反应性气体、和有机溶剂的至少一种与所述钽化合物的混合物)供应到基底W上。前体化合物可包括不同于钽的金属。
在一种实施中,当形成氮化钽膜时,反应性气体可包括例如氨(NH3)、单烷基胺、二烷基胺、三烷基胺、有机胺化合物、肼化合物、或其组合。
在一种实施中,当形成氧化钽膜时,反应性气体可包括氧化性气体,例如,O2、O3、等离子体O2、H2O、NO2、NO、N2O(一氧化二氮)、CO2、H2O2、HCOOH、CH3COOH、(CH3CO)2O、或其组合。
在一种实施中,所述反应性气体可为还原性气体例如H2
为了转移单独的所述钽化合物或包括包含所述钽化合物的混合物的多组分原材料,可使用以上描述的气体转移方法、液体转移方法、单源方法、或多组分源方法等。
在一种实施中,为了形成包含钽的膜,可使用其中仅通过使用热使包括所述钽化合物的原材料气体与反应性气体反应的热CVD工艺,使用热和等离子体的等离子体CVD工艺,使用热和光的光CVD工艺,使用热、光、和等离子体的光等离子体CVD工艺,或其中通过将CVD的沉积划分成多个基础过程而逐步进行分子水平的沉积的ALD工艺。
在形成薄膜的方法中,用于形成薄膜的基底(例如,图2A-2D中显示的基底W)可包括:硅基底;陶瓷基底(如SiN、TiN、TaN、TiO、RuO、ZrO、HfO、和LaO);玻璃基底;金属基底(如钌)等。在一种实施中,所述基底可具有如板形状、球形形状、纤维形状等的形状。在一种实施中,基底的表面可具有平面结构、或3维结构如沟槽结构等。
图3说明根据实施方式的形成包含钽的膜的示例性方法的流程图。将参照图3描述通过使用ALD工艺根据图1的过程P14形成包含钽的膜的方法。
参照图3,在过程P32中,可通过使钽化合物气化而获得包括钽化合物的源气体。所述钽化合物可包括由通式(I)表示的钽化合物。
在过程P33中,可通过将根据过程P32获得的源气体供应到基底上而在基底上形成吸附Ta源的层。
在一种实施中,可通过将源气体供应到基底上而形成包括源气体的化学吸附层和物理吸附层的吸附Ta源的层。
在过程P34中,可通过将吹扫气体供应到基底上除去可在基底上的不必要的副产物。
吹扫气体可包括例如惰性气体如Ar、He、或Ne、N2气体等。
在一种实施中,形成包含钽的膜的方法可进一步包括加热包括吸附Ta源的层的基底的过程、或热处理包含所述基底的反应室的过程。所述热处理可在室温-约400℃、例如约150℃-约400℃的温度下进行。
在过程P35中,可将反应性气体供应到形成于基底上的吸附Ta源的层上。
在一种实施中,当形成氮化钽膜时,反应性气体可包括例如NH3、单烷基胺、二烷基胺、三烷基胺、有机胺化合物、肼化合物、或其组合。
在一种实施中,当形成氧化钽膜时,反应性气体可为氧化性气体例如O2、O3、等离子体O2、H2O、NO2、NO、N2O(一氧化二氮)、CO2、H2O2、HCOOH、CH3COOH、(CH3CO)2O、或其组合。
在一种实施中,所述反应性气体可为还原性或还原气体例如H2
在过程P36中,可通过将吹扫气体供应到基底上而除去可在基底上的不必要的副产物。
吹扫气体可包括例如惰性气体如Ar、He、或Ne、N2气体等。
在一种实施中,为了形成包含钽的膜,可将由通式(I)表示的钽化合物、以及另外的前体、反应性气体、载气、和吹扫气体的至少一种同时或顺序地供应到基底上。可与由通式(I)表示的钽化合物一起供应到基底上的所述另外的前体、反应性气体、载气、和吹扫气体的细节可与以上描述的相同。
为了根据图1和3的过程形成的包含钽的膜,可将由通式(I)表示的钽化合物以各种方法转移以供应到薄膜形成装置的反应室中,例如,图2A-2D中显示的沉积装置200A、200B、200C、200D各自的反应室254中。
在形成薄膜的方法中,用于形成包含钽的膜的条件可包括反应温度(基底温度)、反应压力、沉积速率等。
反应温度可为钽化合物例如由通式(I)表示的钽化合物可充分地反应的温度。在一种实施中,反应温度可为约100℃或更高、例如约150℃-约400℃或约150℃-约250℃的温度。
在一种实施中,当使用热CVD或光CVD工艺时,反应压力可范围为约10Pa到大气压,和当使用等离子体CVD时,反应压力可范围为约10Pa-约2000Pa。
在一种实施中,沉积速率可通过控制用于供应原材料化合物的条件(例如,气化温度和气化压力)、反应温度、或反应压力进行控制。在一种实施中,在形成薄膜的方法中,包含钽的膜的沉积速率可范围为约0.01nm/分钟-约100nm/分钟、例如约1nm/分钟-约50nm/分钟。
当通过使用ALD工艺形成包含钽的膜时,可调节ALD循环的数量以将包含钽的膜控制到期望的厚度。
当通过使用ALD工艺形成包含钽的膜时,可应用能量如等离子体、光、电压等。可不同地选择用于施加能量的时间点。例如,在将包括钽化合物的源气体引入反应室中的时间点、在使源气体吸附到基底上的时间点、在通过使用吹扫气体进行排放过程的时间点、在将反应性气体引入反应室中的时间点、或者在这些时间点之间,可施加能量如等离子体、光、电压等。
在一种实施中,形成薄膜的方法可进一步包括:在通过使用由通式(I)表示的钽化合物形成包含钽的膜之后,使包含钽的膜在惰性、氧化性、或还原性气氛下退火的过程。在一种实施中,为了填充在包含钽的膜的表面上形成的台阶,形成薄膜的方法可进一步包括在需要时使包含钽的膜再流动(回流)的过程。在一种实施中,退火过程和再流动过程各自可在约200℃-约1,000℃、例如约250℃-约1,000℃的温度下进行。
在一种实施中,如参照图1和3描述的形成薄膜的方法可通过使用如图2A-2D中显示的沉积装置200A、200B、200C、和200D进行。在一种实施中,如参照图1和3描述的形成薄膜的方法可通过使用成批型装置代替单一型装置如图2A-2D中显示的沉积装置200A、200B、200C、和200D进行,由此在大量基底上同时形成包含钽的膜。
根据形成薄膜的方法,可适当地选择钽化合物、与钽化合物一起使用的另外的前体、反应性气体、和用于形成薄膜的条件,由此形成不同的包含钽的膜。在一种实施中,通过形成薄膜的方法形成的包含钽的膜可包括例如氮化钽膜、氧化钽膜、或(例如,金属性)钽膜。
可适当地选择不同组分的前体、反应性气体、和用于形成薄膜的条件,由此可提供通过使用包括根据实施方式的钽化合物的用于形成薄膜的原材料制造的薄膜作为期望的薄膜如金属、氧化物陶瓷、氮化物陶瓷、玻璃等。在一种实施中,通过使用包括所述钽化合物的用于形成薄膜的原材料制造的薄膜可包括例如由TaN表示的氮化钽膜,由Ta2O3表示的氧化钽膜,Ta薄膜,Ta和Al的复合氧化物薄膜,Ta、Zr、和Hf的复合氧化物薄膜,Ta、Si、Zr、和Hf的复合氧化物薄膜,Ta、La、和Nb的复合氧化物薄膜,Ta、Si、La、和Nb的复合氧化物薄膜,Ta掺杂的铁电复合氧化物薄膜,Ta掺杂的玻璃薄膜等。
通过形成薄膜的方法制造的包含钽的膜可用于多种用途。例如,所述包含钽的膜可用于晶体管的栅、用于金属线例如铜线的导电阻挡膜、电容器的介电膜、用于液晶的阻挡金属膜、用于薄膜太阳能电池的构件、用于半导体设备的构件、纳米结构体等。
图4A-4C图解用于说明根据实施方式的集成电路器件的图。图4A显示包括具有FinFET结构的第一和第二晶体管TR51和TR52的集成电路器件500的主要部件的透视图,图4B显示沿着图4A的线B1-B1'和B2-B2'所取的横截面图,且图4C显示沿着图4A的线C1-C1'和C2-C2'所取的横截面图。
集成电路器件500可包括第一鳍型(例如,鳍状)活性区域(有源区域)F1和第二鳍型活性区域F2,它们分别从基底510的第一区域I和第二区域II在与基底510的主平面垂直的方向(Z方向)上突出。
第一区域I和第二区域II指的是基底510的不同区域且可为基底510上的执行不同的功能的区域。需要不同的阈值电压的第一晶体管TR51和第二晶体管TR52可分别形成于第一区域I和第二区域II中。在一种实施中,第一区域I可为PMOS晶体管区域,和第二区域II可为NMOS晶体管区域。
第一鳍型活性区域F1和第二鳍型活性区域F2可沿着一个方向(图4A-4C中的Y方向)延伸。在第一区域I和第二区域II中,分别覆盖第一鳍型活性区域F1和第二鳍型活性区域F2的下部侧壁的第一器件隔离膜512和第二器件隔离膜514形成于基底510上。第一鳍型活性区域F1从第一器件隔离膜512以鳍形状向上突出,和第二鳍型活性区域F2从第二器件隔离膜514以鳍形状向上突出。
第一鳍型活性区域F1和第二鳍型活性区域F2在其上部侧上可分别具有的第一沟道区域CH1和第二沟道区域CH2。P型沟道形成于第一沟道区域CH1中,且N型沟道形成于第二沟道区域CH2中。
在一种实施中,第一鳍型活性区域F1和第二鳍型活性区域F2各自可包括单一材料。在一种实施中,分别包括第一沟道区域CH1和第二沟道区域CH2的第一鳍型活性区域F1和第二鳍型活性区域F2可在其所有区域中包括Si。在一种实施中,第一鳍型活性区域F1和第二鳍型活性区域F2可分别包括包含Ge的区域和包含Si的区域。
第一和第二器件隔离膜512和514各自可包括包含硅的绝缘膜如氧化硅膜、氮化硅膜、氧氮化硅膜、碳氮化硅膜等、多晶硅、或其组合。
在第一区域I中,第一栅结构GA可在第一鳍型活性区域F1上在与第一鳍型活性区域F1的延伸方向交叉的方向(图4A-4C中的X方向)上延伸,第一栅结构GA包括顺序地堆叠的第一界面膜522A、第一高K介电膜524A、第一蚀刻终止层526A、第一功函调节层528、第二功函调节层529、和第一间隙填充栅膜530A。第一晶体管TR51形成于第一鳍型活性区域F1与第一栅结构GA交叉的位置处。
在第二区域II中,第二栅结构GB可在第一鳍型活性区域F2上在与第二鳍型活性区域F2的延伸方向交叉的方向(图4A-4C中的X方向)上延伸,第二栅结构GB包括顺序地堆叠的第二界面膜522B、第二高K介电膜524B、第二蚀刻终止层526B、第二功函调节层529、和第二间隙填充栅膜530B。第二晶体管TR52可形成于第二鳍型活性区域F2与第二栅结构GB交叉的位置处。
第一界面膜522A和第二界面膜522B可分别包括通过将第一鳍型活性区域F1和第二鳍型活性区域F2的表面氧化获得的膜。在一种实施中,第一界面膜522A和第二界面膜522B各自可包括具有约9或更小的介电常数的低K材料层例如氧化硅膜、氧氮化硅膜、或其组合。在一种实施中,第一界面膜522A和第二界面膜522B各自可具有例如约-约的厚度。在一种实施中,可省略第一界面膜522A和/或第二界面膜522B。
第一高K介电膜524A和第二高K介电膜524B各自可包括具有比氧化硅膜高的介电常数的金属氧化物。在一种实施中,第一高K介电膜524A和第二高K介电膜524B各自可具有约10-25的介电常数。第一高K介电膜524A和第二高K介电膜524B各自可包括例如氧化铪、氧氮化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽、铌酸铅锌、或其组合。
第一高K介电膜524A和第二高K介电膜524B可通过ALD或CVD工艺形成。第一高K介电膜524A和第二高K介电膜524B各自可具有例如约-约的厚度。
当第一高K介电膜524A和第二高K介电膜524B各自包括包含Ta的膜时,第一高K介电膜524A和/或第二高K介电膜524B可通过使用包括如以上所阐述的由通式(I)表示的钽化合物的形成薄膜的原材料形成。
在一种实施中,第一蚀刻终止层526A和第二蚀刻终止层526B各自可包括TaN膜。在一种实施中,第一蚀刻终止层526A和/或第二蚀刻终止层526B可通过CVD或ALD工艺通过使用包括如以上所阐述的由通式(I)表示的钽化合物的形成薄膜的原材料和使用包含氮原子的反应性气体例如NH3气体形成。
第一功函调节层528可用于调节P型晶体管的功函,且可包括例如TiN。
第二功函调节层529可用于调节N型晶体管的功函,且可包括例如TiAl、TiAlC、TiAlN、TaC、TiC、HfSi、或其组合。
第一间隙填充栅膜530A和第二间隙填充栅膜530B各自可包括例如W。
在一种实施中,导电阻挡膜可介于第二功函调节层529和第一间隙填充栅膜530A之间,和/或介于第二功函调节层529和第二间隙填充栅膜530B之间。在一种实施中,所述导电阻挡膜可包括金属氮化物例如TiN、TaN、或其组合。
第一源/漏区域562可在第一鳍型活性区域F1中在第一栅结构GA的两侧形成。第二源/漏区域对564可在第二鳍型活性区域F2中在第二栅结构GB的两侧形成。
第一和第二源/漏区域对562和564可分别包括在第一和第二鳍型活性区域F1和F2上外延生长的半导体层。第一和第二源/漏区域对562和564各自可包括包含多个外延生长的SiGe层的嵌入SiGe结构、外延生长的Si层、或外延生长的SiC层。
在一种实施中,第一和第二源/漏区域对562和564可具有各种合适的截面形状。
第一和第二晶体管TR51和TR52各自可包括其中在第一和第二鳍型活性区域F1和F2的上部表面以及两个侧表面上形成沟道的3维结构的MOS晶体管。MOS晶体管可构成NMOS晶体管或PMOS晶体管。
在第一区域I和第二区域II中,绝缘间隔体572可形成于第一和第二栅结构GA和GB各自的两侧上。在一种实施中,如图4C中所示,覆盖绝缘间隔体572的绝缘层578可在第一和第二栅结构GA和GB各自的相反侧形成,其中绝缘间隔体572在第一和第二栅结构GA和GB各自与绝缘层578之间。绝缘间隔体572可包括氧化硅膜,和绝缘层578可包括氧化硅膜。
图5A-5H说明根据实施方式的制造集成电路器件的方法中的阶段的横截面图。将参照图5A-5H描述制造图4A-4C中显示的集成电路器件500的方法。在图5A-5H中,与图4A-4C中相同的附图标记表示相同的构件,且可省略其细节。
参照图5A,制备包括第一区域I和第二区域II的基底510。
基底510可包括半导体如Si或Ge,或化合物半导体如SiGe、SiC、GaAs、InAs、或InP。在一种实施中,基底510可包括III-V族材料和IV族材料的至少一种。III-V族材料可为包括至少一种III族元素和至少一种V族元素的二元、三元或四元化合物。III-V族材料可为包括In、Ga、和Al的至少一种元素作为III族元素、以及As、P、和Sb的至少一种元素作为V族元素的化合物。在一种实施中,III-V族材料可从例如InP、InzGa1-zAs(0≤z≤1)、和AlzGa1-zAs(0≤z≤1)之中选择。所述二元化合物可为例如InP、GaAs、InAs、InSb、和GaSb之一。所述三元化合物可为例如InGaP、InGaAs、AlInAs、InGaSb、GaAsSb、和GaAsP之一。IV族材料可为例如Si或Ge。III-V族材料和IV族材料如Ge可用作容许制造低功率高速晶体管的沟道材料。可通过使用包括具有比Si高的电子迁移率的III-V族材料例如GaAs的半导体基底、和使用包括具有比Si高的空穴迁移率的半导体材料例如Ge的半导体基底形成高性能CMOS。在一种实施中,当在基底510上形成NMOS晶体管时,基底510可包括以上阐述的示例性III-V族材料之一。在一种实施中,当在基底510上形成PMOS晶体管时,基底510的至少一部分可包括Ge。在一种实施中,基底510可具有绝缘体上硅(SOI)结构。基底510可包括导电区域例如杂质掺杂的阱、或杂质掺杂的结构。
可通过蚀刻基底510的一些区域而在基底510的第一区域I和第二区域II中形成多个沟槽,由此形成从基底510沿着与基底510的主平面垂直的方向(Z方向)向上突出并且在一个方向(Y方向)上延伸的第一和第二鳍型活性区域F1和F2。另外,在所述多个沟槽中形成分别覆盖第一和第二鳍型活性区域F1和F2的下部侧壁的第一器件隔离膜512和第二器件隔离膜514。
接着,在第一区域I和第二区域II中,可分别在第一和第二鳍型活性区域F1和F2的上部侧以及第一和第二器件隔离膜512和514的上部侧上形成第一虚拟栅DG1和第二虚拟栅DG2,和分别在第一虚拟栅DG1和第二虚拟栅DG2的两侧形成绝缘间隔体572以及第一和第二源/漏区域对562和564。接着,绝缘膜578可覆盖第一和第二源/漏区域对562和564的每一个。
第一虚拟栅DG1和第二虚拟栅DG2各自可包括多晶硅。
参照图5B,在第一区域I和第二区域II中,分别通过移除第一虚拟栅DG1和第二虚拟栅DG2腾空第一栅空间GS1和第二栅空间GS2。接着,在第一栅空间GS1内在第一鳍型活性区域F1的暴露表面上形成第一界面膜522A,且在第二栅空间GS2内在第二鳍型活性区域F2的暴露表面上形成第二界面膜522B。
接着,形成分别覆盖第一区域I和第二区域II的暴露表面的第一高K介电膜524A和第二高K介电膜524B。第一高K介电膜524A可共形地覆盖暴露在第一栅空间GS1的底部表面上的第一界面膜522A和暴露在第一栅空间GS1的侧壁上的绝缘间隔体572。第二高K介电膜524B可共形地覆盖暴露在第二栅空间GS2的底部表面上的第二界面膜522B和暴露在第二栅空间GS2的侧壁上的绝缘间隔体572。
第一高K介电膜524A和第二高K介电膜524B可同时形成。第一高K介电膜524A和第二高K介电膜524B可包括相同的材料。
参照图5C,可形成第一区域I中的覆盖第一高K介电膜524A的第一蚀刻终止层526A、和第二区域II中的覆盖第二高K介电膜524B的第二蚀刻终止层526B。
第一蚀刻终止层526A和第二蚀刻终止层526B各自可包括TaN膜。在一种实施中,第一蚀刻终止层526A和第二蚀刻终止层526B可通过如参照图1和3描述的形成薄膜的方法通过使用包括如以上所阐述的由通式(I)表示的钽化合物的形成薄膜的原材料和使用包含氮原子的反应性气体例如NH3气体形成。
在一种实施中,可使用CVD工艺形成第一蚀刻终止层526A和第二蚀刻终止层526B。在一种实施中,为了形成第一蚀刻终止层526A和第二蚀刻终止层526B,可将由通式(I)表示的钽化合物和包含氮原子的反应性气体同时供应到第一蚀刻终止层526A和第二蚀刻终止层526B上。
在一种实施中,可使用ALD工艺形成第一蚀刻终止层526A和第二蚀刻终止层526B。在一种实施中,为了形成第一蚀刻终止层526A和第二蚀刻终止层526B,可进行:通过将由通式(I)表示的钽化合物供应到高K介电膜上而在第一高K介电膜524A和第二高K介电膜524B上形成吸附钽化合物的层的第一过程,通过使用吹扫气体例如Ar除去可在基底510上的不必要的副产物的第二过程,通过将反应性气体供应到吸附钽化合物的层上而使吸附钽化合物的层与包含氮原子的反应性气体反应的第三过程,和通过使用吹扫气体例如Ar除去可在基底510上的不必要的副产物的第四过程。在一种实施中,第一到第四过程可顺序地重复多次,直到获得具有期望的厚度的第一蚀刻终止层526A和第二蚀刻终止层526B。
在一种实施中,由通式(I)表示的钽化合物在室温和环境压力下可为液体。例如,所述钽化合物可具有相对低的熔点,且可以液体状态递送。在一种实施中,所述钽化合物由于其相对高的蒸气压而可容易地气化,且可使所述钽化合物的递送容易。因此,所述钽化合物可适于在其中原材料化合物以气化状态供应的沉积工艺如ALD、CVD等中用作用于形成包含钽的膜的前体。例如,所述钽化合物由于其相对高的蒸气压而可被容易地转移到具有相对高的纵横比(深径比)的结构,和可在具有相对高的纵横比的结构上形成呈现出良好的台阶覆盖的包含钽的膜。
参照图5D,在第一区域I和第二区域II中,可在第一蚀刻终止层526A和第二蚀刻终止层526B上形成第一功函调节层528。
在一种实施中,第一功函调节层528可包括例如TiN。
参照图5E,可在第一区域I上形成掩模图案592,使得掩模图案592覆盖第一区域I中的第一功函调节层528,同时使第二区域II中的第一功函调节层528暴露。接着,可通过如下使第二蚀刻终止层526B暴露:通过使用掩模图案592作为蚀刻掩模移除第二区域II中的第一功函调节层528。
为了移除第二区域II中的第一功函调节层528,可使用湿法或干法蚀刻工艺。在一种实施中,为了移除第一功函调节层528,可进行使用包括H2O2的蚀刻溶液的蚀刻工艺。在一种实施中,第二蚀刻终止层526B可为通过使用包括如以上所阐述的由通式(I)表示的钽化合物的形成薄膜的原材料形成的膜,且可具有优异的对包括H2O2的蚀刻溶液的蚀刻耐受性。例如,尽管在通过使用包括H2O2的蚀刻溶液移除第一功函调节层528之后第二蚀刻终止层526B可暴露于所述蚀刻溶液中包括的H2O2,但是第二蚀刻终止层526B可不被H2O2损坏或者可不遭受组成的变化,且可具有强的对氧原子渗透的耐受性。
相反,如果通过使用不同的前体例如五(二甲基氨基)Ta(PDMAT)作为Ta源形成TaN膜以形成包括TaN的第二蚀刻终止层526B,则当使通过使用PDMAT形成的TaN膜暴露于包括H2O2的蚀刻溶液时,暴露的TaN膜可变成Ta氧化物膜,因为氧可渗透到暴露的TaN膜中。结果,这可不利地影响意图形成的栅堆叠结构体的功函。另外,PDMAT在室温下为固体,并且在使用ALD或CVD形成薄膜的工艺中PDMAT的处理可不便利。因而,PDMAT在生产率方面具有缺点。
根据实施方式,可使用包括由通式(I)表示的钽化合物的形成薄膜的原材料形成第二蚀刻终止层526B,并且即使当在移除第一功函调节层528时暴露于蚀刻溶液中包括的H2O2时,第二蚀刻终止层526B也可具有优异的对H2O2的蚀刻耐受性。因此,不存在第二蚀刻终止层526B会被损坏或者遭受组成的变化的担忧,且在实现意图形成的栅的期望的功函方面不存在不利的影响。
参照图5F,可移除掩模图案592(参见图5E),随后形成第二功函调节层529,其覆盖第一区域I中的第一功函调节层528且覆盖第二区域II中的第二蚀刻终止层526B。
第二功函调节层529可包括例如TiAl、TiAlC、TiAlN、TaC、TiC、HfSi、或其组合。
参照图5G,可形成第一间隙填充栅膜530A,其填充第一区域I中的在第二功函调节层529上面的第一栅空间GS1的剩余部分,和可形成第二间隙填充栅膜530B,其填充第二区域II中的在第二功函调节层529上面的第二栅空间GS2的剩余部分。
第一间隙填充栅膜530A和/或第二间隙填充栅膜530B可包括例如W。在一种实施中,第一间隙填充栅膜530A和第二间隙填充栅膜530B可同时形成。
在一种实施中,制造集成电路器件500的方法可进一步包括:在形成第一间隙填充栅膜530A和第二间隙填充栅膜530B之前,在第一区域I中的第二功函调节层529和第一间隙填充栅膜530A之间、和/或在第二区域II中的第二功函调节层529和第二间隙填充栅膜530B之间形成导电阻挡膜的过程。在一种实施中,所述导电阻挡膜可包括金属氮化物例如TiN、TaN、或其组合。
参照图5H,在第一区域I和第二区域II中,可移除覆盖绝缘膜578的上部表面的层,直到绝缘膜578的上部表面被暴露,由此第一和第二栅结构GA和GB分别形成于第一和第二栅空间GS1和GS2内,且完成第一晶体管TR51和第二晶体管TR52。
在一种实施中,制造集成电路器件的方法可包括包含3维结构的沟道的FinFET。在一种实施中,可形成包括具有根据实施方式的特征的平面式MOSFET的集成电路器件。
图6A-6J说明根据实施方式的制造集成电路器件600(参见图6J)的方法中的阶段的横截面图。在图6A-6J中,与图5A-5H中相同的附图标记表示相同的构件,且可省略其细节。
参照图6A,可在包括多个活性区域AC的基底510上形成层间电介质620,随后形成多个导电区域624,其穿透层间电介质620且分别连接至多个活性区域AC。
多个活性区域AC可由形成于基底510上的多个器件隔离区域612限定。器件隔离区域612可包括氧化硅膜、氮化硅膜、氧氮化硅膜、或其组合。
层间电介质620可包括氧化硅膜。
多个导电区域624可连接至形成于基底510上的切换器件如场效应晶体管的一个末端。多个导电区域624可包括例如多晶硅、金属、导电金属氮化物、金属硅化物、或其组合。
参照图6B,可形成覆盖层间电介质620和多个导电区域624的绝缘层628。绝缘层628可用作蚀刻终止层。
绝缘层628可包括对于层间电介质620和在随后的过程中形成的模型膜630(参见图6C)具有蚀刻选择性的绝缘材料。在一种实施中,绝缘层628可包括氮化硅、氧氮化硅、或其组合。
在一种实施中,绝缘层628可具有例如约-约的厚度。
参照图6C,可在绝缘层628上形成模型膜630。
在一种实施中,模型膜630可包括氧化物膜。例如,模型膜630可包括氧化物膜如硼磷硅酸盐玻璃(BPSG)、磷硅酸盐玻璃(PSG)、未掺杂的硅酸盐玻璃(USG)、旋涂电介质(SOD)、通过高密度等离子体化学气相沉积(HDPCVD)工艺形成的氧化物膜等。为了形成模型膜630,可使用热CVD工艺或等离子体CVD工艺。在一种实施中,模型膜630可具有例如约-约的厚度。
在一种实施中,模型膜630可包括支撑膜。支撑膜可由对于模型膜630具有蚀刻选择性的材料形成,且可具有约-约的厚度。支撑膜可包括对于蚀刻环境例如对于当在随后的过程中通过LAL剥离工艺移除模型膜630时的HF/NH4F/H2O蚀刻剂(LAL)具有相对低的蚀刻速率的材料。在一种实施中,支撑膜可包括例如氮化硅、碳氮化硅、氧化钽、氧化钛、或其组合。
参照图6D,可在模型膜630上顺序地形成牺牲膜642和掩模图案644。
牺牲膜642可包括氧化物膜如BPSG、PSG、USG、SOD、通过HDP CVD工艺形成的氧化物膜等。牺牲膜642可具有约-约的厚度。牺牲膜642可保护模型膜630中包括的支撑膜。
掩模图案644可包括氧化物膜、氮化物膜、多晶硅膜、光刻胶膜、或其组合。可通过掩模图案644限定其中形成电容器的下部电极的区域。
参照图6E,可使用掩模图案644作为蚀刻掩模和使用绝缘层628作为蚀刻终止层干法蚀刻牺牲膜642和模型膜630,由此形成限定多个孔H1的牺牲图案642P和模型图案630P。
在一种实施中,绝缘层628还可由于过蚀刻而被蚀刻,由此可形成使多个导电区域624暴露的绝缘图案628P。
参照图6F,可从图6E的所得物移除掩模图案644,随后形成用于形成下部电极的导电膜650,其覆盖多个孔H1各自的内部侧壁、绝缘图案628P的暴露表面、在多个孔H1内部的多个导电区域624各自的暴露表面、和牺牲图案642P的暴露表面。
用于形成下部电极的导电膜650可共形地形成于多个孔H1的侧壁上,使得多个孔H1各自的内部空间部分地保留。
在一种实施中,用于形成下部电极的导电膜650可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。例如,用于形成下部电极的导电膜650可包括TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCO((La,Sr)CoO3)、或其组合。
为了形成用于形成下部电极的导电膜650,可使用CVD、金属有机CVD(MOCVD)、或ALD工艺。在一种实施中,用于形成下部电极的导电膜650可具有例如约20nm-约100nm的厚度。
参照图6G,可部分地移除用于形成下部电极的导电膜650的上部侧,由此将用于形成下部电极的导电膜650分成多个下部电极LE。
为了形成多个下部电极LE,可通过使用回蚀或化学机械抛光(CMP)工艺移除用于形成下部电极的导电膜650的上部侧的一部分和牺牲图案642P(参见图6F),直到模型图案630P的上部表面被暴露。
多个下部电极LE可经过绝缘图案628P连接到导电区域624。
参照图6H,移除模型图案630P,由此使多个具有圆筒形形状的下部电极LE的外壁暴露。
模型图案630P可通过使用LAL或氢氟酸的剥离工艺移除。
参照图6I,可在多个下部电极LE上形成介电膜660。
介电膜660可共形地覆盖多个下部电极LE的暴露表面。
介电膜660可通过ALD工艺形成。为了形成介电膜660,可使用已参照图1和3描述的根据实施方式的形成薄膜的方法。
在一种实施中,介电膜660可包括例如Ta2O5膜。例如,介电膜660可包括Ta2O5膜的单层,或者可包括包含至少一个Ta2O5膜和至少一个包括氧化物、金属氧化物、氮化物、或其组合的介电膜的多层。在一种实施中,介电膜660可包括至少一个Ta2O5膜和至少一个选自ZrO2膜和Al2O3膜的高K介电膜的组合。
在一种实施中,介电膜660可具有例如约-约的厚度。
参照图6J,可在介电膜660上形成上部电极UE。
下部电极LE、介电膜660和上部电极UE可构成电容器670。
上部电极UE可包括掺杂的半导体、导电金属氮化物、金属、金属硅化物、导电氧化物、或其组合。在一种实施中,上部电极UE可包括例如TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2、SrRuO3、Ir、IrO2、Pt、PtO、SRO(SrRuO3)、BSRO((Ba,Sr)RuO3)、CRO(CaRuO3)、LSCO((La,Sr)CoO3)、或其组合。
为了形成上部电极UE,可使用CVD、MOCVD、PVD、或ALD工艺。
在一种实施中,制造集成电路器件600的方法可包括形成覆盖圆筒形下部电极LE的表面的介电膜660的过程。在一种实施中,可形成不具有内部空间的柱型下部电极代替圆筒形下部电极LE,且介电膜660可形成于柱型下部电极上。
通过根据如参照图6A-6J所描述的实施方式的方法形成的集成电路器件600的电容器670可包括具有3维电极结构的下部电极LE以增加其电容。为了补偿由于设计规则的减小所致的电容的减小,可增加3维结构的下部电极LE的纵横比。为了在窄且深的3维空间中形成高品质介电膜,可使用ALD或CVD工艺。根据实施方式的钽化合物可具有相对低的熔点,可以液体状态递送,且由于其相对高的蒸气压而可容易地气化,可使所述钽化合物的递送容易。因而,在通过使用ALD或CVD工艺在下部电极LE上形成介电膜600时,用于形成介电膜600的包括所述钽化合物的原材料化合物可被容易地递送到具有相对高的纵横比的结构。因此,可在具有相对高的纵横比的下部电极LE上形成呈现出良好的阶梯覆盖的介电膜600。
图7说明显示根据实施方式的电子设备的主要部件的框图。
电子设备1100可包括控制器1110、输入/输出设备1120、存储器1130、和接口1140。电子设备1100可为移动系统、或者传输或接收信息的系统。在一些实施方式中,所述移动系统可包括如下的至少一种:个人数字助理(PDA)、便携式计算机、网络平板、无线电话、移动电话、数字音乐播放器、和存储卡。
在一种实施中,控制器1110可为微处理器、数字信号处理器、或微控制器。
输入/输出设备1120可用于电子设备1100的数据输入和输出。电子设备1100可通过使用输入/输出设备1120连接到在电子设备1100外部的设备例如个人计算机或网络,且可与外部设备交换数据。在一种实施中,输入/输出设备1120为小键盘、键盘、或显示器。
在一种实施中,存储器1130存储用于控制器1110的运行的代码和/或数据。在一些其它实施方式中,存储器1130存储通过控制器1110处理的数据。控制器1110和存储器1130的至少一个包括根据本发明构思的通过形成薄膜的方法形成的包含钽的膜、通过参照图5A-5H描述的方法形成的集成电路器件500、或通过参照图6A-6J的方法形成的集成电路器件600。
接口1140可用作电子设备1100和在电子设备1100外部的其它设备之间的数据传输路径。控制器1110、输入/输出设备1120、存储器1130、和接口1140可通过总线1150彼此通信。
电子设备1100可包括在移动电话、MP3播放器、导航系统、便携式多媒体播放器(PMP)、固态硬盘(SSD)、或家用电器中。
在下文中,将参照一些实施例更详细地说明所述钽化合物和形成薄膜的方法。
提供以下实施例和对比例以突出一种或多种实施方式的特性,但是将理解,实施例和对比例将不被解释为限制实施方式的范围,对比例也将不被解释为在实施方式的范围外。此外,将理解,实施方式不限于在实施例和对比例中描述的具体细节。
实施例1
由式12表示的钽化合物的合成
将20.0g(55.8mmol)氯化钽(V)、15.2g(112mmol)氯化锌、和154g甲苯添加到500mL4颈烧瓶中。向所述烧瓶中滴加5.03g(55.8mmol)乙二醇二甲基醚,随后将组分搅拌1小时。在将反应液体冷却至0℃的同时,向反应液体滴加9.90g(167mmol)异丙基胺。在滴加完成之后,将反应液体加热到25℃,随后将反应液体在25℃下搅拌12小时。在完成搅拌之后,将反应液体过滤,由此获得液体。从所获得的液体除去溶剂,随后向所得物添加150g甲苯,由此获得溶液A。
向独立的(另外的)300mL 4颈烧瓶中添加10.3g(102mmol)二异丙基胺和87g甲苯,随后在将所述烧瓶冷却到0℃的同时向所述烧瓶中滴加64.2mL正丁基锂在正己烷中的溶液(正丁基锂量:98.9mmol)。在滴加完成之后,将组分在25℃下搅拌4小时,由此制备二异丙基氨基锂溶液A。
在将溶液A冷却到0℃的同时将二异丙基氨基锂溶液A滴加到溶液A。在滴加完成之后,将组分在25℃下搅拌12小时,然后在回流下搅拌6小时。将反应液体过滤,随后向反应液体添加13.5mL甲基锂在二乙基醚中的溶液(甲基锂量:15.6mmol),然后在25℃下搅拌4小时。将反应液体过滤,随后从反应液体除去溶剂。接着,将所得物在115℃的浴温度下在50Pa下蒸馏,由此获得5.04g浅黄色透明液体(产率:19.9%)。
(分析)
(1)在大气压下的热重分析法-差分热分析(TG-DTA)
50%质量减少温度:175℃(Ar流速:100mL/分钟,加热速率:10℃/分钟,样品量:4.070mg)
(2)在减压下的TG-DTA
50%质量减少温度:118℃(10托,Ar流速:50mL/分钟,加热速率:10℃/分钟,样品量:10.708mg)
(3)1H-NMR(溶剂:六氘代苯)(化学位移:多重性:H的数量)
(4.65:Sep:1H)(3.57:Sep:4H)(1.48:d:6H)(1.27:d:12H)(1.19:d:12H)(0.45:s:3H)
(4)元素分析
钽的量(ICP-AES):40.8%(理论值:39.9%)
C、H、和N的量:C 41.4%(理论值:42.4%),H 7.5%(理论值:8.5%),N 8.2%(理论值:9.3%)
(5)ASAP-TOF MS:m/z 454.2624(理论值:454.2624[M+H])
图8说明显示由式12表示的钽化合物的热重分析(TGA)的结果的图,所述钽化合物是在实施例1中合成的。
为了图8的评价,在Ar流动气氛下进行TGA。当将10mg在实施例1中合成的钽化合物以10℃/分钟的加热速率加热时,所述钽化合物的质量在192℃减少50%。
图9说明显示由式12表示的钽化合物的TGA的其它结果的图,所述钽化合物是在实施例1中合成的。
在图9的评价中,在氨还原性气体气氛下进行TGA以证实所述钽化合物与氨的反应性。当将10mg在实施例1中合成的钽化合物以10℃/分钟的加热速率加热时,证实,所述钽化合物在约50℃开始显示质量的减少并且与氨反应。
图10说明显示由式12表示的钽化合物的随着温度的粘度的测量结果的图,所述钽化合物是在实施例1中合成的。
作为图10的评价的结果,可看出,由式12表示的钽化合物的粘度在在室温(~25℃)下为31.9mPa·s。
实施例2
由式18表示的钽化合物的合成
将10.0g(27.9mmol)氯化钽(V)、7.61g(55.8mmol)氯化锌、和77.0g甲苯添加到300mL 4颈烧瓶中。向所述烧瓶中滴加2.52g(27.9mmol)乙二醇二甲基醚,随后将组分搅拌1小时。在将反应液体冷却到0℃的同时向反应液体滴加6.13g(83.8mmol)叔丁基胺。在滴加完成之后,将反应液体加热到25℃,随后将反应液体在25℃下搅拌12小时。在搅拌完成之后,将反应液体过滤,由此获得液体。从所获得的液体除去溶剂,随后向所得物添加75g甲苯,由此获得溶液B。
向独立的200mL 4颈烧瓶中添加5.2g(51.4mmol)二异丙基胺和44g甲苯,随后在将烧瓶冷却到0℃的同时向所述烧瓶中滴加32.1mL正丁基锂在正己烷中的溶液(正丁基锂量:49.4mmol)。在滴加完成之后,将组分在25℃下搅拌4小时,由此制备二异丙基氨基锂溶液B。
在将溶液B冷却到0℃的同时向溶液B滴加二异丙基氨基锂溶液B。在滴加完成之后,将组分在25℃下搅拌12小时,然后在回流下搅拌6小时。将反应液体过滤,随后向反应液体添加4.7mL甲基锂在二乙基醚中的溶液(甲基锂量:5.5mmol),然后在25℃下搅拌4小时。将反应液体过滤,随后从反应液体除去溶剂。接着,将所得物在135℃的温度下在50Pa下蒸馏,由此获得1.55g浅黄色透明液体(产率:11.9%)。
(分析)
(1)在大气压下的TG-DTA
50%质量减少温度:200℃(Ar流速:100mL/分钟,加热速率:10℃/分钟,样品量:10.113mg)
(2)在减压下的TG-DTA
50%质量减少温度:122℃(10托,Ar流速:50mL/分钟,加热速率:10℃/分钟,样品量:10.063mg)
(3)1H-NMR(溶剂:六氘代苯)(化学位移:多重性:H的数量)
(3.57:Sep:4H)(1.61:s:9H)(1.27:d:12H)(1.20:d:12H)(0.45:s:3H)
(4)元素分析
钽的量(ICP-AES):39.5%(理论值:38.7%)
C、H、和N的量:C 41.4%(理论值:43.7%),H 7.5%(理论值:8.6%),N 8.1%(理论值:9.0%)
(5)ASAP-TOF MS:m/z 468.2782(理论值:468.2780[M+H])
实施例3
由式48表示的钽化合物的合成
将20.0g(55.8mmol)氯化钽(V)、15.2g(112mmol)氯化锌、和154g甲苯添加到500mL4颈烧瓶中。在环境温度下向所述烧瓶中滴加5.03g(55.8mmol)乙二醇二甲基醚,随后将组分搅拌1小时。在将反应液体冷却到0℃的同时向反应液体滴加9.90g(167mmol)异丙基胺。在滴加完成之后,将反应液体加热到25℃,随后将反应液体在25℃下搅拌12小时。在搅拌完成之后,将反应液体过滤,由此获得液体。从所获得的液体除去溶剂,随后向所得物添加150g甲苯,由此获得溶液C。
向单独的300mL 4颈烧瓶中添加10.3g(102mmol)二异丙基胺和87g甲苯,随后在将烧瓶冷却到0℃的同时向所述烧瓶中滴加64.2mL正丁基锂在正己烷中的溶液(正丁基锂量:98.9mmol)。在滴加完成之后,将组分在25℃下搅拌4小时,由此制备二异丙基氨基锂溶液C。
在将溶液C冷却到0℃的同时向溶液C滴加二异丙基氨基锂溶液C。在滴加完成之后,将组分在25℃下搅拌12小时,然后在回流下搅拌6小时。将反应液体过滤,随后向反应液体添加21.7mL溴化异丙基镁在四氢呋喃中的溶液(溴化异丙基镁量:15.6mmol),然后在25℃下搅拌4小时。将反应液体过滤,随后从反应液体除去溶剂。接着,将所得物在140℃的浴温度下在50Pa下蒸馏,由此获得3.40g浅黄色透明液体(产率:12.6%)。
(分析)
(1)在大气压下的TG-DTA
50%质量减少温度:213℃(Ar流速:100mL/分钟,加热速率:10℃/分钟,样品量:9.850mg)
(2)在减低下的TG-DTA
50%质量减少温度:130℃(10托,Ar流速:50mL/分钟,加热速率:10℃/分钟,样品量:9.775mg)
(3)1H-NMR(溶剂:六氘代苯)(化学位移:多重性:H的数量)
(4.65:Sep:1H)(3.59:Sep:4H)(1.83:d:6H)(1.48:d:6H)(1.30:d:12H)(1.19:d:12H)(1.16:Sep:1H)
(4)元素分析
钽的量(ICP-AES):38.2%(理论值:37.6%)
C、H、和N的量:C 42.7%(理论值:44.9%),H 8.0%(理论值:8.8%),N 7.5%(理论值:8.7%)
(5)ASAP-TOF MS:m/z 482.2940(理论值:482.2937[M+H])
实施例4
氮化钽膜的形成
使用由式12、18、和48表示的钽化合物各自作为原材料和使用图2A中显示的沉积装置,通过ALD工艺在硅基底上形成氮化钽膜。用于形成所述氮化钽膜的ALD工艺的条件如下。
(条件)
反应温度(基底温度):200℃
反应性气体:NH3 100%
(工艺)
在以上条件下,当将以下一系列过程(1)-(4)定义为1次循环时,重复250次循环。
过程(1):通过将用于CVD的原材料的蒸气引入反应室中而在100Pa的压力下进行沉积10秒的过程,所述蒸气是通过将所述原材料在70℃的原材料容器加热温度和100Pa的原材料容器压力的条件下气化而获得的。
过程(2):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
过程(3):通过将反应性气体引入反应室中而在100Pa的压力下进行反应60秒的过程。
过程(4):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
对通过进行以上阐述的过程获得的氮化钽膜各自进行通过X-射线反射性的膜厚度测量、以及通过X-射线衍射和X-射线光电子能谱法的薄膜的结构和组成的分析。结果,所有所获得的薄膜具有10nm-15nm的厚度,且所有薄膜具有氮化钽的组成。所述薄膜各自中的碳含量小于约3.0原子%。另外,对于ALD工艺的每1次循环所获得的膜的厚度范围为约0.04nm-约0.06nm。
图11说明显示通过使用由式12表示的钽化合物在实施例4中获得的氮化钽膜的随着沉积温度的沉积速率的测量结果的图。
作为图11的评价的结果,证实,所述氮化钽膜显示出其中在特定温度范围内沉积速率是恒定的ALD行为。
图12说明显示通过使用由式12表示的钽化合物在实施例4中获得的氮化钽膜的随着前体供应时间的沉积速率的测量结果的图。
对于图12的评价,使用由式12表示且在实施例1中合成的钽化合物作为供应到反应室中的钽前体,评价随着将所述前体供应到反应室中的时间的沉积速率。结果,证实,由于由式12表示且在实施例1中合成的钽化合物显示出理想的ALD行为,因此即使前体供应时间改变也获得相等的沉积速率。
图13说明显示用于分析通过使用由式12表示的钽化合物在实施例4中获得的氮化钽膜的成分的浓度的X-射线光电子能谱法(XPS)深度分布结果的图。
证实,通过使用由式12表示的钽化合物获得的氮化钽膜中探测到的碳原子的量小于约3原子%,且未产生由于前体的分解所致的杂质。
对比例1
使用以下对比化合物1作为用于CVD的原材料和使用图2A中显示的沉积装置,通过ALD工艺在硅基底上形成氮化钽膜。
(条件)
反应温度(基底温度):200℃
反应性气体:NH3 100%
(工艺)
在以上条件下,当将以下一系列过程(1)-(4)定义为1次循环时,重复250次循环。
过程(1):通过将用于CVD的原材料的蒸气引入反应室中而在100Pa的压力下进行沉积10秒的过程,所述蒸气是通过将所述原材料在80℃的原材料容器加热温度和100Pa的原材料容器压力的条件下气化而获得的。
过程(2):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
过程(3):通过将反应性气体引入反应室中而在100Pa的压力下进行反应60秒的过程。
过程(4):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
对所获得的薄膜进行通过X-射线反射性的膜厚度测量、以及通过X-射线衍射和X-射线光电子能谱法的薄膜的结构和组成的分析。结果,所获得的薄膜具有5nm的厚度,并且具有氮化钽的组成。所述薄膜中的碳含量为25.0原子%。另外,对于ALD工艺的每1次循环所获得的膜的厚度范围为约0.02nm。
由实施例4和对比例1的结果,可看出,当使用根据实施方式的钽化合物作为用于ALD工艺的原材料时,由于膜中的低的碳量,可形成良好品质的氮化钽薄膜。
实施例5
氧化钽膜的形成
使用由式12、18、和48表示的钽化合物各自作为原材料和使用图2A中显示的沉积装置,通过ALD工艺在硅基底上形成氧化钽膜。用于形成氧化钽膜的ALD工艺的条件如下。
(条件)
反应温度(基底温度):200℃
反应性气体:臭氧20质量%+氧气80质量%
(工艺)
在以上条件下,当将以下一系列过程(1)-(4)定义为1次循环时,重复250次循环。
过程(1):通过将用于CVD的原材料的蒸气引入反应室中而在100Pa的压力下进行沉积10秒的过程,所述蒸气是通过将所述原材料在70℃的原材料容器加热温度和100Pa的原材料容器压力的条件下气化而获得的。
过程(2):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
过程(3):通过将反应性气体引入反应室中而在100Pa的压力下进行反应10秒的过程。
工艺(4):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
对通过进行以上阐述的过程获得的氧化钽膜各自进行通过X-射线反射性的膜厚度测量、以及通过X-射线衍射和X-射线光电子能谱法的薄膜的结构和组成的分析。结果,所有所获得的薄膜具有20nm-30nm的厚度,且所有薄膜具有氧化钽的组成。所述薄膜各自中的碳含量小于约0.5原子%。另外,对于ALD工艺的每1次循环所获得的膜的厚度范围为约0.08nm-约0.12nm。
由实施例5的结果,可看出,当使用根据实施方式的钽化合物作为用于ALD工艺的原材料时,可形成良好品质的氧化钽薄膜,例如由于膜中的低的碳量。
实施例6
金属性钽膜的形成
使用由式12、18、和48表示的钽化合物各自作为原材料和使用图2A中显示的沉积装置,通过ALD工艺在硅基底上形成金属性钽薄膜。用于形成金属性钽膜的ALD工艺的条件如下。
(条件)
反应温度(基底温度):250℃
反应性气体:氢气100%
(工艺)
在以上条件下,当将以下一系列过程(1)-(4)定义为1次循环时,重复250次循环。
过程(1):通过将用于CVD的原材料的蒸气引入反应室中而在100Pa的压力下进行沉积10秒的过程,所述蒸气是通过将所述原材料在70℃的原材料容器加热温度和100Pa的原材料容器压力的条件下气化而获得的。
过程(2):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
过程(3):通过将反应性气体引入反应室中而在100Pa的压力下进行反应60秒的过程。
过程(4):通过进行Ar吹扫10秒而除去未反应的原材料的过程。
对通过进行以上阐述的过程获得的金属性钽薄膜各自进行通过X-射线反射性的膜厚度测量、以及通过X-射线衍射和X-射线光电子能谱法的薄膜的结构和组成的分析。结果,所有所获得的薄膜具有2nm-7nm的厚度,且所有薄膜具有金属性钽的组成。所述薄膜各自中的碳含量小于约5.0原子%。另外,对于ALD工艺的每1次循环所获得的膜的厚度范围为约0.01nm-约0.03nm。
由实施例6的结果,可看出,当使用根据实施方式的钽化合物作为用于ALD工艺的原材料时,可形成良好品质的金属性钽薄膜,例如由于膜中的低的碳量。
作为总结和回顾,用于形成薄膜的原材料化合物可为合乎需要的。所述原材料可能够在包含钽的薄膜的形成时抑制所述薄膜中的不期望的杂质,可能够提供优异的填充性质和优异的阶梯覆盖,即使在具有高的纵横比的窄且深的空间中亦然,且由于原材料化合物的易于处理而在工艺稳定性和大规模生产能力方面可具有优点。
实施方式可提供在室温下为液体的钽化合物。
实施方式可提供钽化合物,其可在包含钽的薄膜的形成时帮助抑制所述薄膜中的不期望的杂质且可提供优异的热稳定性、工艺稳定性、和大规模生产能力,所述钽化合物是用于形成包含钽的薄膜的原材料化合物。
实施方式可提供通过使用钽化合物形成良好品质的包含钽的薄膜的方法,所述钽化合物可在包含钽的薄膜的形成时帮助抑制所述薄膜中的不期望的杂质且可提供优异的工艺稳定性和大规模生产能力。
实施方式可提供通过经由使用钽化合物形成良好品质的包含钽的薄膜而制造能够提供期望的电性质的集成电路器件的方法,所述钽化合物可在包含钽的薄膜的形成时帮助抑制所述薄膜中的不期望的杂质且可提供优异的工艺稳定性和大规模生产能力。
根据实施方式,所述钽化合物可呈现出对于用于沉积工艺是足够的挥发性,并且可使所述钽化合物的处理和转移容易,因为由于其低的熔点,所述钽化合物在室温下处于液体状态。另外,所述钽化合物可帮助抑制意图通过使用化学气相沉积(CVD)或原子层沉积(ALD)工艺形成的薄膜中残留的杂质如碳残余物,且所述钽化合物可适宜地用作用于形成良好品质的包含钽的薄膜的原材料。
已经在本文中公开了实例实施方式,且尽管采用具体的术语,但是它们仅在一般的和描述的意义上被使用和将被解释且不用于限制的目的。在一些情况中,如对于在本申请提交时的本领域普通技术人员而言将是明晰的,关于具体实施方式所描述的特征、特性和/或要素可单独地或者与关于另外的实施方式所描述的特征、特性和/或要素组合使用,除非另外特别指明。因此,本领域技术人员将理解,在不背离如由所附权利要求中所阐述的本发明的精神和范围的情况下,可进行形式和细节方面的多种变化。

Claims (20)

1.由以下通式(I)表示的钽化合物:
其中,在通式(I)中,
R1、R3和R4各自独立地为C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C4-C20取代或未取代的芳族或脂环族烃基;和
R2为氢原子,C1-C10取代或未取代的直链或支化的烷基、烯基、或炔基,或C6-C20取代或未取代的芳族或脂环族烃基。
2.如权利要求1中所述的钽化合物,其中所述钽化合物在25℃和1atm下为液体。
3.如权利要求1中所述的钽化合物,其中R1、R3、和R4的至少一个为异丙基。
4.如权利要求1中所述的钽化合物,其中R2为C1-C5直链或支化的烷基。
5.如权利要求1中所述的钽化合物,其中R1、R3、和R4各自独立地为C1-C5直链或支化的烷基。
6.如权利要求1中所述的钽化合物,其中:
R1、R3、和R4各自为异丙基,和
R2为甲基。
7.制造集成电路器件的方法,所述方法包括:
在基底上形成下部结构;和
通过使用如权利要求1-6任一项中所述的钽化合物在所述下部结构上形成包含钽的膜。
8.如权利要求7中所述的方法,其中:
形成下部结构包括:通过蚀刻所述基底的一部分形成从所述基底向上突出的多个鳍状活性区域;和在所述多个鳍形活性区域上形成高K介电膜,和
形成包含钽的膜包括在所述多个鳍状活性区域上的所述高K介电膜上形成氮化钽膜。
9.如权利要求8中所述的方法,其中所述氮化钽膜是氧不能渗透的。
10.如权利要求8中所述的方法,其中所述氮化钽膜具有小于3.0原子%的碳含量。
11.如权利要求8中所述的方法,其中形成氮化钽膜包括将由通式(I)表示的钽化合物和包含氮原子的反应性气体供应到所述高K介电膜上。
12.如权利要求8中所述的方法,其中形成氮化钽膜包括:
通过将由通式(I)表示的钽化合物供应到所述高K介电膜上而在所述高K介电膜上形成吸附钽化合物的层;和
通过如下使所述吸附钽化合物的层与包含氮原子的反应性气体反应:将所述反应性气体供应到所述吸附钽化合物的层上。
13.如权利要求8中所述的方法,进一步包括在形成氮化钽膜之后,在所述多个鳍状活性区域上的所述氮化钽膜上形成包含金属的栅层,其中形成包含金属的栅层包括:
在所述多个鳍状活性区域上的所述氮化钽膜上形成包含第一金属的膜,所述包含第一金属的膜包括不同于钽的金属;
通过蚀刻所述多个鳍状活性区域的一部分上的所述包含第一金属的膜的一部分并且使用所述氮化钽膜作为蚀刻终止层而使所述包含钽的膜的一部分暴露;
清洁所述氮化钽膜的暴露表面和所述包含第一金属的膜的上部表面;和
形成覆盖所述氮化钽膜的暴露表面和所述包含第一金属的膜的上部表面的包含第二金属的膜。
14.如权利要求13中所述的方法,其中通过蚀刻所述包含第一金属的膜的一部分而使所述包含钽的膜的一部分暴露包括:通过用包括H2O2的蚀刻溶液蚀刻所述包含第一金属的膜的一部分而使所述包含钽的膜的一部分暴露。
15.如权利要求14中所述的方法,其中所述包含钽的膜对于通过所述包括H2O2的蚀刻溶液的蚀刻是耐受性的。
16.如权利要求7中所述的方法,进一步包括在所述基底上形成电容器,使得所述电容器包括下部电极、介电膜、和上部电极,
其中:
形成下部结构包括在所述基底上形成所述电容器的下部电极,和
形成包含钽的膜包括形成覆盖所述下部电极的表面的氧化钽膜。
17.如权利要求16中所述的方法,其中所述氧化钽膜具有小于5.0原子%的碳含量。
18.如权利要求16中所述的方法,其中:
形成下部电极包括:在所述基底上形成模型图案使得所述模型图案包括使所述基底的导电区域暴露的孔;和形成下部电极使得所述下部电极具有沿着所述孔的内壁延伸的侧壁,和
形成氧化钽膜包括:通过移除所述模型图案而使所述下部电极的侧壁暴露;和形成覆盖所述下部电极的暴露的侧壁的Ta2O5膜。
19.如权利要求16中所述的方法,其中形成电容器包括形成高K介电膜,所述高K介电膜包括所述包含钽的膜和至少一个金属氧化物膜的组合,所述金属氧化物膜包括不同于钽的金属。
20.根据如权利要求7-19任一项中所述的方法制备的集成电路器件。
CN201610994787.7A 2015-12-21 2016-11-09 钽化合物、制造集成电路器件的方法和集成电路器件 Active CN106977540B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0182788 2015-12-21
KR1020150182788A KR102627456B1 (ko) 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Publications (2)

Publication Number Publication Date
CN106977540A true CN106977540A (zh) 2017-07-25
CN106977540B CN106977540B (zh) 2020-07-14

Family

ID=59063940

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610994787.7A Active CN106977540B (zh) 2015-12-21 2016-11-09 钽化合物、制造集成电路器件的方法和集成电路器件

Country Status (5)

Country Link
US (2) US10134582B2 (zh)
JP (1) JP6908991B2 (zh)
KR (1) KR102627456B1 (zh)
CN (1) CN106977540B (zh)
TW (1) TWI718220B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111534808A (zh) * 2020-05-19 2020-08-14 合肥安德科铭半导体科技有限公司 一种含Ta薄膜的原子层沉积方法及其产物
CN111943978A (zh) * 2019-05-14 2020-11-17 三星电子株式会社 金属化合物及使用其制造半导体器件的方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3171394A4 (en) * 2014-07-16 2018-02-14 Nissan Chemical Industries, Ltd. Metal oxide semiconductor layer forming composition, and method for producing metal oxide semiconductor layer using same
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
US10325911B2 (en) * 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US12000535B2 (en) * 2018-04-09 2024-06-04 Velan Inc. Electronic steam trap
US10879238B2 (en) * 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance finFET and method of fabricating thereof
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20210027770A (ko) * 2019-09-03 2021-03-11 주식회사 이지티엠 금속 질화물 박막의 형성 방법
KR102665411B1 (ko) * 2020-03-16 2024-05-14 삼성전자주식회사 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법
KR102623858B1 (ko) * 2020-06-04 2024-01-10 삼성전자주식회사 박막 제조용 물질, 이를 이용한 박막의 제조 방법 및 이를 이용한 박막의 제조 설비
KR102523420B1 (ko) * 2020-06-16 2023-04-19 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
WO2022114782A1 (ko) * 2020-11-24 2022-06-02 주식회사 레이크머티리얼즈 탄탈 화합물, 이의 제조방법 및 이를 포함하는 탄탈 함유 박막증착용 조성물

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1675407A (zh) * 2002-06-18 2005-09-28 赛米格有限公司 金属化
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7407881B2 (en) * 2004-07-09 2008-08-05 Dongbu Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
GB9814048D0 (en) 1998-06-30 1998-08-26 Inorgtech Ltd Novel precursors for the growth of heterometal oxide films by MOCVD
US6139922A (en) 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
JP3909320B2 (ja) 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
JP2005132756A (ja) * 2003-10-29 2005-05-26 Tosoh Corp タンタル化合物、その製造方法およびタンタル含有薄膜の形成方法
JP5053543B2 (ja) * 2005-02-02 2012-10-17 東ソー株式会社 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7736697B2 (en) 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP5096016B2 (ja) 2006-02-14 2012-12-12 東ソー株式会社 タンタル化合物とその製造方法、及びそれを原料とするタンタル含有薄膜とその形成方法
US7750173B2 (en) * 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US9085823B2 (en) 2008-08-01 2015-07-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming a tantalum-containing layer on a substrate
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR20120058762A (ko) 2010-11-30 2012-06-08 한국화학연구원 신규의 탄탈 화합물 및 그 제조 방법
KR20130049020A (ko) 2011-11-03 2013-05-13 솔브레인씨그마알드리치 유한회사 탄탈륨 전구체 화합물 및 이의 제조방법
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
CN1675407A (zh) * 2002-06-18 2005-09-28 赛米格有限公司 金属化
US7407881B2 (en) * 2004-07-09 2008-08-05 Dongbu Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111943978A (zh) * 2019-05-14 2020-11-17 三星电子株式会社 金属化合物及使用其制造半导体器件的方法
CN111534808A (zh) * 2020-05-19 2020-08-14 合肥安德科铭半导体科技有限公司 一种含Ta薄膜的原子层沉积方法及其产物

Also Published As

Publication number Publication date
US20190074175A1 (en) 2019-03-07
CN106977540B (zh) 2020-07-14
KR102627456B1 (ko) 2024-01-19
TWI718220B (zh) 2021-02-11
US20170178961A1 (en) 2017-06-22
TW201736388A (zh) 2017-10-16
US10651031B2 (en) 2020-05-12
US10134582B2 (en) 2018-11-20
JP2017114850A (ja) 2017-06-29
JP6908991B2 (ja) 2021-07-28
KR20170073947A (ko) 2017-06-29

Similar Documents

Publication Publication Date Title
CN106977540A (zh) 钽化合物、制造集成电路器件的方法和集成电路器件
US11081337B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10752645B2 (en) Method of forming a thin film
CN107026072B (zh) 形成薄膜的方法、制造集成电路器件的方法和形成半导体器件的方法
JP7185394B2 (ja) スズ化合物、その合成方法、ald用スズ前駆体化合物、及びスズ含有物質膜の形成方法
US9373677B2 (en) Doping of ZrO2 for DRAM applications
US11193206B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US8404878B2 (en) Titanium-containing precursors for vapor deposition
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
US11631580B2 (en) Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
CN112341489B (zh) 铌化合物和形成薄膜的方法
TW202200598A (zh) 有機金屬加成物化合物及使用其製造積體電路的方法
US9790246B2 (en) Nickel compound and method of forming thin film using the nickel compound

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant