TW201736388A - 鉭化合物、積體電路裝置及其製造方法 - Google Patents

鉭化合物、積體電路裝置及其製造方法 Download PDF

Info

Publication number
TW201736388A
TW201736388A TW105142135A TW105142135A TW201736388A TW 201736388 A TW201736388 A TW 201736388A TW 105142135 A TW105142135 A TW 105142135A TW 105142135 A TW105142135 A TW 105142135A TW 201736388 A TW201736388 A TW 201736388A
Authority
TW
Taiwan
Prior art keywords
film
forming
metal
integrated circuit
ruthenium
Prior art date
Application number
TW105142135A
Other languages
English (en)
Other versions
TWI718220B (zh
Inventor
柳承旻
林載順
曺侖廷
小出幸宜
山田直樹
白鳥翼
Original Assignee
三星電子股份有限公司
艾迪科股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司, 艾迪科股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201736388A publication Critical patent/TW201736388A/zh
Application granted granted Critical
Publication of TWI718220B publication Critical patent/TWI718220B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F9/00Compounds containing elements of Groups 5 or 15 of the Periodic System
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/0215Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing tantalum, e.g. TaSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

一種鉭化合物、使用上述形成薄膜的方法以及使用上述製造積體電路裝置的方法,所述鉭化合物是由以下通式(I)表示:□。

Description

鉭化合物、積體電路裝置及其製造方法
本發明實施例是有關於一種鉭化合物以及使用上述形成薄膜及製造積體電路裝置的方法。
由於電子技術的發展,近年來半導體裝置的尺寸按比例縮小已迅速開展。因此,構成電子裝置的圖案變得更精細。
本發明實施例是有關於一種鉭化合物以及使用上述形成薄膜及製造積體電路裝置的方法。
所述實施例可藉由提供由以下通式(I)表示的鉭化合物來達成:
其中,在通式(1)中,R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
所述實施例可藉由提供一種形成薄膜的方法來達成,所述方法包括藉由使用由以下通式(I)表示的鉭化合物而在基板上形成含鉭的膜:
其中,在通式(I)中,R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
所述實施例可藉由提供一種製造積體電路裝置的方法來達成,所述方法包括:在基板上形成下部結構;以及藉由使用由以下通式(I)表示的鉭化合物而在所述下部結構上形成含鉭的膜:
其中,在通式(I)中,R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
根據一實施例,一種鉭化合物可由以下通式(I)表示。
在通式(I)中,R1 、R3 及R4 可分別獨立地為或包含例如C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基。R2 可為或可包含例如氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基、或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
在一實施方案中,R1 、R3 及R4 可分別獨立地為例如C1至C10直鏈或分支的烷基。在一實施方案中,R1 、R3 及R4 可分別獨立地為例如C1至C5直鏈或分支的烷基或者C1至C4直鏈或分支的烷基。
在一實施方案中,R2 可為例如C1至C10直鏈或分支的烷基。在一實施方案中,R2 可為例如C1至C5直鏈或分支的烷基或者C1至C3直鏈或分支的烷基。
在一實施方案中,由通式(I)表示的鉭化合物可在室溫下或在環境條件下為液體。舉例而言,由通式(I)表示的鉭化合物可在25℃及1個大氣壓(atm)下為液體。
在一實施方案中,R1 、R2 、R3 及R4 可分別獨立地為例如直鏈或分支的烷基,例如甲基、乙基、丙基、異丙基、丁基、異丁基、第二丁基、第三丁基、戊基、異戊基、第二戊基、或第三戊基。在一實施方案中,R1 、R2 、R3 及R4 中的至少一者可為例如脂環烷基,例如環戊基。
當R1 為分支的二級烷基(secondary alkyl group)時,鉭化合物可表現出提高的穩定性及增大的蒸氣壓。所述分支的二級烷基的實例可包括異丙基、第二丁基及第二戊基。在一實施方案中,為增大鉭化合物的蒸氣壓,R1 可為異丙基或第二丁基。
當R2 為直鏈的一級烷基(primary alkyl group)時,鉭化合物可表現出提高的穩定性及增大的蒸氣壓。所述直鏈的一級烷基的實例可包括甲基、乙基、丙基、丁基及戊基。在一實施方案中,為增大鉭化合物的蒸氣壓,R2 可為甲基或乙基。當R2 為甲基時,鉭化合物可有利於藉由使用原子層沈積(atomic layer deposition,ALD)製程形成含鉭的薄膜的製程來形成含鉭的膜,所述含鉭的膜包含極低的碳殘餘物量。
當R3 為分支的二級烷基時,鉭化合物可表現出提高的穩定性及增大的蒸氣壓。所述分支的二級烷基的實例可包括異丙基、第二丁基及第二戊基。在一實施方案中,為增大鉭化合物的蒸氣壓,R3 可為異丙基或第二丁基。
在一實施方案中,鉭化合物可包括由以下式1至式54中的一者表示的鉭化合物。
可藉由合適的方法來製備鉭化合物。舉例而言,可藉由使用觸媒使氯化鉭與烷基二醇醚反應。接下來,可使所得最終產物與烷基胺反應,且然後與烷基醯胺鋰等反應,藉此獲得鉭化合物。
圖1說明根據實施例的一種形成薄膜的方法的流程圖。
參考圖1,在步驟P12中,可準備基板。
所述基板可具有下文參考圖4A至圖4C關於基板510所述的構成。
在圖1所示步驟P14中,可藉由使用用於形成薄膜的原料(raw material)而在基板上形成含鉭的膜。所述原料可包含由通式(I)表示的鉭化合物。
在一實施方案中,在用於形成薄膜的原料中所包含的且在步驟P14中使用的鉭化合物可在25℃及1個大氣壓(atm)下為液體。
在一實施方案中,在步驟P14中使用的鉭化合物可包括由式1至式54表示的鉭化合物中的至少一者。
在形成薄膜的方法中,根據實施例,用於形成薄膜的原料可包含如下所述的鉭化合物。用於形成薄膜的原料可隨著意欲形成的薄膜而變化。在一實施方案中,當欲製造僅包含Ta的薄膜時,用於形成薄膜的原料除鉭化合物外可不包含金屬化合物及半金屬化合物。在一實施方案中,當欲製造包含二或更多種金屬及/或半金屬的薄膜時,用於形成薄膜的原料除鉭化合物外亦可包含含有所需金屬或半金屬的化合物(以下被稱為用語「另一前驅物」)。在一實施方案中,用於形成薄膜的原料除鉭化合物外亦可包含有機溶劑或親核試劑。
包含鉭化合物的用於形成薄膜的原料可就其性質而言適合用於化學氣相沈積(chemical vapor deposition,CVD)製程及原子層沈積製程。
當用於形成薄膜的原料為用於化學氣相沈積製程中的原料時,用於形成薄膜的原料的成分可根據化學氣相沈積製程的具體方法、原料輸送方法等來選擇。
所述原料輸送方法可包括氣體輸送方法及液體輸送方法。在所述氣體輸送方法中,藉由加熱儲存有用於化學氣相沈積的原料的容器(以下其可被稱為用語「原料容器」)及/或減小所述容器中的壓力以將所述原料氣化而使得所述原料處於氣態,且可將氣態原料及載氣(例如,視需要使用的氬氣、氮氣、氦氣等)一起引入至放置有基板的腔室(以下其可被稱為用語「沈積反應器」)中。在所述液體輸送方法中,可將用於化學氣相沈積的原料以液體或溶液狀態輸送至氣化器,並藉由加熱所述氣化器及/或減小所述氣化器中的壓力以將所述原料氣化而使得所述原料成為蒸氣,然後將所述蒸氣引入至腔室中。在所述氣體輸送方法中,由通式(I)表示的鉭化合物本身可用作化學氣相沈積原料。在一實施方案中,化學氣相沈積原料可更包含另一前驅物、親核試劑等。
在一實施方案中,在形成薄膜的方法中,為形成含鉭的膜,可使用多組分化學氣相沈積製程。在所述多組分化學氣相沈積製程中,可使用針對每一組分而獨立地供應化學氣相沈積製程中將要使用的原料化合物的方法(以下,所述方法可被稱為用語「單一來源方法」),或藉由將其中所述多組分原料被混合成所需組成的原料混合物氣化而供應多組分原料的方法(以下,所述方法可被稱為用語「多組分來源方法」)。當使用所述多組分來源方法時,可使用以下作為用於在化學氣相沈積製程中形成薄膜的原料化合物:包含鉭化合物的第一混合物、其中所述第一混合物溶解於有機溶劑中的第一混合溶液、包含鉭化合物及另一前驅物的第二混合物或其中所述第二混合物溶解於有機溶劑中的第二混合溶液。所述第一混合物及第二混合物以及所述第一混合溶液及第二混合溶液中的每一者可更包含親核試劑。
在一實施方案中,所述有機溶劑可包含:例如,乙酸酯,例如乙酸乙酯及甲氧基乙酸乙酯;醚,例如四氫呋喃、四氫吡喃、乙二醇二甲醚、二乙二醇二甲醚、三乙二醇二甲醚、二丁醚及二噁烷;酮,例如甲基丁基酮、甲基異丁基酮、乙基丁基酮、二丙基酮、二異丁基酮、甲基戊基酮、環己酮及甲基環己酮;烴,例如己烷、環己烷、甲基環己烷、二甲基環己烷、乙基環己烷、庚烷、辛烷、甲苯及二甲苯;含氰基的烴,例如1-氰基丙烷、1-氰基丁烷、1-氰基己烷、氰基環己烷、氰基苯、1,3-二氰基丙烷、1,4-二氰基丁烷、1,6-二氰基己烷、1,4-二氰基環己烷及1,4-二氰基苯;吡啶;二甲基吡啶等。以上作為實例所述的有機溶劑可藉由考慮溶質的溶解度、其使用的溫度及其熔點、其閃點(flash point)等而單獨使用或以組合形式使用。在一實施方案中,在有機溶劑中,鉭化合物及另一前驅物的總濃度可為約0.01莫耳/升至約2.0莫耳/升,例如,約0.05莫耳/升至約1.0莫耳/升。此處,鉭化合物及所述另一前驅物的總濃度指代當用於形成薄膜的原料除鉭化合物外不包含金屬化合物及半金屬化合物時鉭化合物的濃度,且指代當用於形成薄膜的原料除鉭化合物外更包含含有除鉭外的其他金屬的化合物或含有半金屬的化合物時鉭化合物的量與所述另一前驅物的量的和。
在形成薄膜的方法中,當使用多組分化學氣相沈積製程來形成含鉭的膜時,能夠與鉭化合物一起使用的所述另一前驅物可包括能夠在化學氣相沈積製程中用作原料的合適的前驅物。
在一實施方案中,所述另一前驅物(能夠在形成薄膜的方法中使用)可包含Si或金屬化合物,例如具有以下組分作為配位體的化合物:氫化物、氫氧化物、鹵化物、疊氮化物、烷基、烯基、環烷基、烯丙基、炔基、胺基、二烷基胺基烷基、單烷基胺基、二烷基胺基、二胺基、二(矽烷基-烷基)胺基、二(烷基-矽烷基)胺基、二矽烷基胺基、烷氧基、烷氧基烷基、醯肼基、磷化物、腈基、二烷基胺基烷氧基、烷氧基烷基二烷基胺基、矽氧基、二酮酸根(diketonate)、環戊二烯基、矽烷基、吡唑根(pyrazolate)、胍根(guanidinate)、膦胍根(phosphoguanidinate)、脒根(amidinate)、膦脒根、酮亞胺根(ketoiminate)、二酮亞胺根(diketoiminate)及羰基。
在一實施方案中,前驅物中所包含的金屬可包括例如鎂(Mg)、鈣(Ca)、鍶(Sr)、鋇(Ba)、鐳(Ra)、鈧(Sc)、釔(Y)、鈦(Ti)、鋯(Zr)、鉿(Hf)、釩(V)、鈮(Nb)、鉻(Cr)、鉬(Mo)、鎢(W)、錳(Mn)、鐵(Fe)、鋨(Os)、鈷(Co)、銠(Rh)、銥(Ir)、鎳(Ni)、鈀(Pd)、鉑(Pt)、銅(Cu)、銀(Ag)、金(Au)、鋅(Zn)、鎘(Cd)、鋁(Al)、鎵(Ga)、銦(In)、鍺(Ge)、錫(Sn)、鉛(Pb)、銻(Sb)、鉍(Bi)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、鐿(Yb)等。
在一實施方案中,當使用醇化合物作為有機配位體時,可藉由使以上所述金屬的無機鹽或其水合物與醇化合物的鹼金屬烷氧化物反應來製備前驅物。在一實施方案中,所述金屬的無機鹽或其水合物的實例可包括所述金屬的鹵化物、硝酸鹽等,且鹼金屬烷氧化物的實例可包括烷氧化鈉、烷氧化鋰、烷氧化鉀等。
在單一來源方法中,作為所述另一前驅物,可使用表現出與鉭化合物的熱分解行為及/或氧化分解行為類似的熱分解行為及/或氧化分解行為的化合物。另外,在多組分來源方法中,合適的是,使用表現出與鉭化合物的熱分解行為及/或氧化分解行為類似的熱分解行為及/或氧化分解行為且在其混合時不因化學反應等而改變的化合物作為所述另一前驅物。
在所述另一前驅物的實例中,含Ti、Zr或Hf的前驅物的實例可包括由式(II-1)至式(II-5)表示的化合物:
在以上式(II-1)至式(II-5)中,M1 可為例如Ti、Zr或Hf。
Ra 及Rb 可分別獨立地為或包含例如可經鹵素原子取代且可在鏈中包含氧原子的C1至C20烷基。
Rc 可為或包含例如C1至C8烷基。Rd 可為或包含例如C2至C18直鏈或分支的伸烷基。Re 及Rf 可分別獨立地為例如氫原子或C1至C3烷基。Rg 、Rh 、Rj 及Rk 可分別獨立地為例如氫原子或C1至C4烷基。p可為例如0至4的整數。q可為例如0或2。r可為例如0至3的整數。s可為例如0至4的整數。t可為例如1至4的整數。
在一實施方案中,在式(II-1)至式(II-5)中,Ra 及Rb 可分別獨立地為或包含例如甲基、乙基、丙基、異丙基、丁基、第二丁基、第三丁基、異丁基、戊基、異戊基、第二戊基、第三戊基、己基、庚基、3-庚基、異庚基、第三庚基、正辛基、異辛基、第三辛基、2-乙基己基、三氟甲基、全氟己基、2-甲氧基乙基、2-乙氧基乙基、2-丁氧基乙基、2-(2-甲氧基乙氧基)乙基、1-甲氧基-1,1-二甲基甲基、2-甲氧基-1,1-二甲基乙基、2-乙氧基-1,1-二甲基乙基、2-異丙氧基-1,1-二甲基乙基、2-丁氧基-1,1-二甲基乙基或2-(2-甲氧基乙氧基)-1,1-二甲基乙基。
在一實施方案中,在式(II-1)至式(II-5)中,Rc 可為或包含例如甲基、乙基、丙基、異丙基、丁基、第二丁基、第三丁基、異丁基、戊基、異戊基、第二戊基、第三戊基、己基、1-乙基戊基、庚基、異庚基、第三庚基、正辛基、異辛基、第三辛基或2-乙基己基。
在一實施方案中,在式(II-1)至式(II-5)中,Rd 可為例如藉由二醇或自二醇獲得的基。在一實施方案中,Rd 可為或包含例如自以下獲得的基:1,2-乙二醇、1,2-丙二醇、1,3-丁二醇、2,4-己二醇、2,2-二甲基-1,3-丙二醇、2,2-二乙基-1,3-丙二醇、2,2-二乙基-1,3-丁二醇、2-乙基-2-丁基-1,3-丙二醇、2,4-戊二醇、2-甲基-1,3-丙二醇或1-甲基-2,4-戊二醇。
在一實施方案中,在式(II-1)至式(II-5)中,Re 及Rf 可分別獨立地為或包含例如甲基、乙基、丙基或2-丙基。
在一實施方案中,在式(II-1)至式(II-5)中,Rg 、Rh 、Rj 及Rk 可分別獨立地為或包含例如甲基、乙基、丙基、異丙基、丁基、第二丁基、第三丁基或異丁基。
在一實施方案中,所述含Ti的前驅物可包括:例如四烷氧基鈦化合物,例如四(乙氧基)鈦、四(2-丙氧基)鈦、四(丁氧基)鈦、四(第二丁氧基)鈦、四(異丁氧基)鈦、四(3-丁氧基)鈦、四(第三戊氧基)鈦及四(1-甲氧基-2-甲基-2-丁氧基)鈦;四β-二酮鈦化合物,例如四(戊烷-2,4-二酮)鈦、四(2,6-二甲基庚烷-3,5-二酮)鈦及四(2,2,6,6-四甲基庚烷-3,5-二酮)鈦;雙(烷氧基)雙(β-二酮)鈦化合物,例如雙(甲氧基)雙(戊烷-2,4-二酮)鈦、雙(乙氧基)雙(戊烷-2,4-二酮)鈦、雙(第三丁氧基)雙(戊烷-2,4-二酮)鈦、雙(甲氧基)雙(2,6-二甲基庚烷-3,5-二酮)鈦、雙(乙氧基)雙(2,6-二甲基庚烷-3,5-二酮)鈦、雙(2-丙氧基)雙(2,6-二甲基庚烷-3,5-二酮)鈦、雙(第三丁氧基)雙(2,6-二甲基庚烷-3,5-二酮)鈦、雙(第三戊氧基)雙(2,6-二甲基庚烷-3,5-二酮)鈦、雙(甲氧基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦、雙(乙氧基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦、雙(2-丙氧基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦、雙(3-丁氧基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦及雙(第三戊氧基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦;二醇基雙(β-二酮)鈦,例如(2-甲基戊烷二羥基)雙(2,2,6,6-四甲基庚烷-3,5-二酮)鈦及(2-甲基戊烷二羥基)雙(2,6-二甲基庚烷-3,5-二酮)鈦;(環戊二烯基)三(二烷基胺基)鈦,例如(甲基環戊二烯基)三(二甲基胺基)鈦、(乙基環戊二烯基)三(二甲基胺基)鈦、(環戊二烯基)三(二甲基胺基)鈦、(甲基環戊二烯基)三(乙基甲基胺基)鈦、(乙基環戊二烯基)三(乙基甲基胺基)鈦、(環戊二烯基)三(乙基甲基胺基)鈦、(甲基環戊二烯基)三(二乙基胺基)鈦、(乙基環戊二烯基)三(二乙基胺基)鈦及(環戊二烯基)三(二乙基胺基)鈦;(環戊二烯基)三(烷氧基)鈦,例如(環戊二烯基)三(甲氧基)鈦、(甲基環戊二烯基)三(甲氧基)鈦、(乙基環戊二烯基)三(甲氧基)鈦、(丙基環戊二烯基)三(甲氧基)鈦、(異丙基環戊二烯基)三(甲氧基)鈦、(丁基環戊二烯基)三(甲氧基)鈦、(異丁基環戊二烯基)三(甲氧基)鈦、(第三丁基環戊二烯基)三(甲氧基)鈦及(五甲基環戊二烯基)三(甲氧基)鈦等。
含Zr的前驅物及含Hf的前驅物的實例可包括藉由以鋯或鉿對以上作為含Ti的前驅物的實例所述的化合物的鈦進行取代而獲得的化合物。
含稀土元素的前驅物的實例可包括由式(III-1)至式(III-3)表示的化合物。
在式(III-1)至式(III-3)中,M2 可為例如稀土元素。Ra 及Rb 可分別獨立地為或包含例如可在鹵素原子處被取代且可在環中包含氧原子的C1至C20烷基。Rc 可為或可包含例如C1至C8烷基。Re 及Rf 可分別獨立地為或包含例如氫原子或C1至C3烷基。Rg 及Rj 可分別獨立地為或包含例如C1至C4烷基。p'可為例如0至3的整數。r'可為例如0至2的整數。
在由式(III-1)至式(III-3)表示的含稀土元素的前驅物中,由M2 指示的稀土元素可為例如鈧(Sc)、釔(Y)、鑭(La)、鈰(Ce)、鐠(Pr)、釹(Nd)、鉕(Pm)、釤(Sm)、銪(Eu)、釓(Gd)、鋱(Tb)、鏑(Dy)、鈥(Ho)、鉺(Er)、銩(Tm)、或鐿(Yb)。在式(III-1)至式(III-3)中,Ra 、Rb 、Rc 、Re 、Rf 、Rg 及Rj 中的每一者可與參考式(II-1)至式(II-5)所述的相同。
在形成薄膜的方法中,用於形成薄膜的原料可包含親核試劑以使得鉭化合物及所述另一前驅物具有穩定性。在一實施方案中,能夠包含於用於形成薄膜的原料中的親核試劑的實例可包括:乙二醇醚,例如甘醇二甲醚、二甘醇二甲醚、三甘醇二甲醚及四甘醇二甲醚;冠醚,例如18-冠-6、二環己基-18-冠-6、24-冠-8、二環己基-24-冠-8及二苯並-24-冠-8;多胺,例如乙二胺、N,N,N',N'-四甲基乙二胺、二伸乙基三胺、三伸乙基四胺、四伸乙基五胺、五伸乙基六胺、1,1,4,7,7-五甲基二伸乙基三胺、1,1,4,7,10,10-六甲基三伸乙基四胺及三乙氧基三伸乙基四胺;環狀多胺,例如1,4,8,11-四氮雜環十四烷(cyclam)及1,4,7,10-四氮雜環十二烷(cyclen);雜環化合物,例如吡啶、吡咯啶、哌啶、嗎啉、N-甲基吡咯啶、N-甲基哌啶、N-甲基嗎啉、四氫呋喃、四氫吡喃、1,4-二噁烷、噁唑、噻唑及氧雜硫雜環戊烷;β-酮酯,例如乙醯乙酸甲酯、乙醯乙酸乙酯及乙醯乙酸-2-甲氧基乙酯;以及β-二酮,例如乙醯丙酮、2,4-己二酮、2,4-庚二酮、3,5-庚二酮及二新戊醯基甲烷(dipivaloyl methane)。
在一實施方案中,基於前驅物的總量1莫耳,親核試劑可以約0.1莫耳至約10莫耳、例如約1莫耳至約4莫耳的量存在。
在形成薄膜的方法中使用的用於形成薄膜的原料中,盡可能地抑制金屬元素、例如氯等鹵素、有機材料等的雜質的量可為合意的。在一實施方案中,金屬元素雜質中的每一者可以約100 ppb(十億分之一)或小於100 ppb的量存在於用於形成薄膜的原料中。在一實施方案中,用於形成薄膜的原料所包含的金屬元素雜質中的每一者可為約10 ppb或小於10 ppb,且金屬雜質的總量可為約1 ppm(百萬分之一)或小於1 ppm,例如約100 ppb或小於100 ppb。在一實施方案中,當形成用作大型積體(large-scale integrated,LSI)電路的閘極絕緣膜、閘極導電膜或障壁膜的薄膜時,可盡可能地減少鹼金屬及鹼土金屬元素的量,所述鹼金屬及鹼土金屬元素的量會影響所獲得薄膜的電性質。在一實施方案中,鹵素雜質可以約100 ppm或小於100 ppm、例如約10 ppm或小於10 ppm的量存在於用於形成薄膜的原料中。
在一實施方案中,有機組分雜質可以約500 ppm或小於500 ppm、例如約50 ppm或小於50 ppm或者約10 ppm或小於10 ppm的總量存在於用於形成薄膜的原料中。
若在用於形成薄膜的原料中存在水分,則所述水分會造成在用於化學氣相沈積的原料中產生顆粒或在形成所述薄膜期間產生顆粒。因此,可在使用金屬化合物、有機溶劑及親核試劑之前預先自所述金屬化合物、所述有機溶劑及所述親核試劑移除水分。水分可以約10 ppm或小於10 ppm、例如約1 ppm或小於1 ppm的量存在於金屬化合物、有機溶劑及親核試劑中的每一者中。
為減少意欲形成的薄膜中的顆粒污染,可將用於形成薄膜的原料中的顆粒量最小化。在一實施方案中,在用於形成薄膜的原料中,當藉由光散射型顆粒偵測器來執行液體中的顆粒量測時,每1毫升液態原料中具有大於0.3微米的大小的顆粒的數目可為100或少於100,且每1毫升液態原料中具有大於0.2微米的大小的顆粒的數目可為1000或少於1000、例如100或少於100。
在形成薄膜的方法中,為藉由使用用於形成薄膜的原料來製造薄膜,可執行化學氣相沈積製程,在所述化學氣相沈積製程中,將藉由對用於形成薄膜的原料進行氣化而獲得的蒸氣及(若需要)反應氣體引入至包括放置於其中的基板的腔室中,且藉由在基板上執行前驅物的分解及化學反應而在所述基板上生長或沈積含鉭的薄膜。此處,供應用於形成薄膜的原料的方法、沈積方法、製造條件、製造設備等並無特別限制,而是可使用合適的條件及方法。
根據一實施例的鉭化合物可有益地用於形成製造積體電路裝置所需的薄膜的製程。舉例而言,鉭化合物可用作化學氣相沈積製程或原子層沈積製程的Ta前驅物。
圖2A至圖2D對示出根據一實施例的能夠用於形成薄膜的製程的示例性沈積設備200A、200B、200C及200D的架構的示意圖進行說明。
在圖2A至圖2D中作為實例而示出的沈積設備200A、200B、200C及200D中的每一者均包括:流體輸送單元210;薄膜形成單元250,在薄膜形成單元250中,藉由使用自流體輸送單元210中的原料容器212供應的製程氣體來執行在基板W上形成薄膜的沈積製程;以及排氣系統270,用於排出在供薄膜形成單元250中的反應使用之後剩餘的氣體或排出反應副產物。
薄膜形成單元250可包括反應腔室254,反應腔室254包括支撐基板W的基座252。用於將由流體輸送單元210所供應的氣體供應至基板W上的噴頭256可安裝於反應腔室254內部的上端部中。
流體輸送單元210可包括流入管線222及流出管線224,流入管線222用於自沈積設備中的每一者的外部將載氣供應至原料容器212,流出管線224用於將原料容器212中所包含的原料化合物供應至薄膜形成單元250。閥V1及V2以及質量流控制器(mass flow controller,MFC)M1及M2可分別安裝至流入管線222及流出管線224。流入管線222及流出管線224可藉由旁通管線226而彼此連接。閥V3可安裝至旁通管線226。電動馬達或另一遠端可控構件(remote-controllable means)可氣動地操作閥V3。
自原料容器212供應的原料可經由薄膜形成單元250的流入管線266而供應至反應腔室254,流入管線266連接至流體輸送單元210的流出管線224。自原料容器212供應的原料可視需要與經由流入管線268供應的載氣一起供應至反應腔室254中。閥V4及質量流控制器M3可安裝至載氣流經的流入管線268。
薄膜形成單元250可包括用於將吹洗氣體供應至反應腔室254中的流入管線262及用於將反應氣體供應至反應腔室254中的流入管線264。閥V5及V6以及質量流控制器M4及M5可分別安裝至流入管線262及264。
反應腔室254中的所用製程氣體及廢棄的反應副產物可經由排氣系統270而被排出至沈積設備中的每一者的外部。排氣系統270可包括連接至反應腔室254的排氣管線272以及安裝至排氣管線272的真空幫浦274。真空幫浦274可移除自反應腔室254排出的製程氣體及廢棄的反應副產物。
阱276可在真空幫浦274的上游側處安裝至排氣管線272。阱276可捕獲由反應腔室中尚未完全反應的製程氣體所產生的反應副產物,且因此使得所述反應副產物不在阱276的下游側處流入真空幫浦274。
在形成薄膜的方法中,可使用由通式(I)表示的鉭化合物作為原料化合物。在一實施方案中,鉭化合物可在室溫下(例如,在環境條件下)以液態存在,且可與另一製程氣體(例如,例如還原性氣體或氧化氣體等反應氣體)反應。因此,安裝至排氣管線272的阱276可藉由捕獲因製程氣體之間的反應所產生的反應副產物而使得所述反應副產物不流至阱276的下游。阱276可藉由冷卻器或藉由水冷被冷卻。
在一實施方案中,旁通管線278及自動壓力控制器280可在阱276的上游側處安裝至排氣管線272。閥V7及V8可分別安裝至旁通管線278及排氣管線272的平行於旁通管線278延伸的部分。
如在圖2A及圖2C中的沈積設備200A及200C中所示,加熱器214可安裝至原料容器212。原料容器212中所包含的原料化合物可藉由加熱器214而維持於相對高的溫度。
如在圖2B及圖2D中的沈積設備200B及200D中所示,氣化器258可安裝至流入管線266或薄膜形成單元250。氣化器258可將由流體輸送單元210以液態供應的流體氣化,並將被氣化原料化合物供應至反應腔室254中。在氣化器258中氣化的原料化合物可與經由流入管線268供應的載氣一起供應至反應腔室254中。藉由氣化器258而被供應至反應腔室254中的原料化合物的流入可藉由閥V9來控制。
在一實施方案中,如在圖2C及圖2D中的沈積設備200C及200D中所示,薄膜形成單元250可包括高頻電源供應器292及射頻(RF)匹配系統294,以在反應腔室254內部產生電漿。
在一實施方案中,在圖2A至圖2D中的沈積設備200A、200B、200C及200D中,一個原料容器212可連接至反應腔室254。在一實施方案中,流體輸送單元210可視需要包括多個原料容器212,且所述多個原料容器212中的每一者均可連接至反應腔室254。
在一實施方案中,為根據形成薄膜的方法而在基板W上形成含鉭的膜,可使用在圖2A至圖2D中所示的沈積設備200A、200B、200C及200D中的一者。
在一實施方案中,為根據圖1所示步驟P14而在基板上形成含鉭的膜,由通式(I)表示的鉭化合物可以各種方法來輸送以供應至薄膜形成設備的反應腔室中,例如,供應至圖2A至圖2D中所示的沈積設備200A、200B、200C及200D中的每一者的反應腔室254中。
在一實施方案中,為藉由化學氣相沈積製程且使用由通式(I)表示的鉭化合物來形成薄膜,可使用氣體輸送方法,在所述氣體輸送方法中,藉由加熱原料容器212及/或在原料容器212中減壓而將鉭化合物氣化,然後將被氣化鉭化合物與例如Ar、N2 、He等載氣(若需要)一起供應至反應腔室254中。當使用氣體輸送方法時,可使用鉭化合物本身作為用於在化學氣相沈積製程中形成薄膜的原料化合物。
在一實施方案中,為藉由化學氣相沈積製程且使用鉭化合物來形成薄膜,可使用液體輸送方法,所述液體輸送方法中,鉭化合物是以液體或溶液狀態被輸送至氣化器258,然後藉由加熱氣化器258及/或在氣化器258中減壓而將鉭化合物氣化,且然後將所述鉭化合物供應至反應腔室254中。當使用液體輸送方法時,可使用鉭化合物本身或其中鉭化合物溶解於有機溶劑中的溶液作為用於在化學氣相沈積製程中形成薄膜的原料化合物。
在形成薄膜的方法中,可藉由使用由通式(I)表示的鉭化合物而在圖2A至圖2D中所示的沈積設備200A、200B、200C及200D中的一者中形成含鉭的膜。為此,例如,為根據圖1所示步驟P14而形成含鉭的膜,可將鉭化合物供應至維持於約100℃至約1,000℃的溫度下以及約10帕至大氣壓力或環境壓力(例如,約1個大氣壓)的壓力下的反應腔室254中。在一實施方案中,可僅將鉭化合物供應至基板W上。在一實施方案中,為形成含鉭的膜,可將多組分原料(包含鉭化合物與前驅物化合物、反應氣體及有機溶劑中的至少一者的混合物)供應至基板W上。前驅物化合物可包含與鉭不同的金屬。
在一實施方案中,當形成氮化鉭膜時,反應氣體可包含例如氨氣(NH3 )、單烷基胺、二烷基胺、三烷基胺、有機胺化合物、肼化合物或其組合。
在一實施方案中,當形成氧化鉭膜時,反應氣體可包含氧化氣體,例如O2 、O3 、電漿O2 、H2 O、NO2 、NO、N2 O(一氧化二氮)、CO2 、H2 O2 、HCOOH、CH3 COOH、(CH3 CO)2 O、或其組合。
在一實施方案中,反應氣體可為還原性氣體,例如H2
為僅輸送鉭化合物或輸送包含含有鉭化合物的混合物的多組分原料,可使用以上所述的氣體輸送方法、液體輸送方法、單一來源方法或多組分來源方法等。
在一實施方案中,為形成含鉭的膜,可使用以下製程:其中包含鉭化合物的原料氣體僅使用熱而與反應氣體反應的熱化學氣相沈積製程、使用熱及電漿的電漿化學氣相沈積製程、使用熱及光的光化學氣相沈積製程、使用熱、光及電漿的光電漿化學氣相沈積製程或其中藉由將化學氣相沈積的沈積劃分成基本製程而分階段地執行分子層次(molecular-level)的沈積的原子層沈積製程。
在形成薄膜的方法中,用於形成薄膜的基板(例如,在圖2A至圖2D中所示的基板W)可包括:矽基板;陶瓷基板(例如SiN、TiN、TaN、TiO、RuO、ZrO、HfO及LaO);玻璃基板;金屬基板(例如釕)等。在一實施方案中,基板可具有例如板形、球形、纖維形等形狀。在一實施方案中,基板的表面可具有平坦的結構或例如溝槽結構等三維結構。
圖3說明根據實施例的一種形成含鉭的膜的示例性方法的流程圖。將參考圖3來闡述根據圖1所示步驟P14且藉由使用原子層沈積製程來形成含鉭的膜的方法。
參考圖3,在步驟P32中,可藉由將鉭化合物氣化而獲得包含鉭化合物的源氣體。所述鉭化合物可包括由通式(I)表示的鉭化合物。
在步驟P33中,可藉由將根據步驟P32獲得的源氣體供應至基板上而在所述基板上形成Ta源吸附層。
在一實施方案中,可藉由將源氣體供應至基板上而形成包括源氣體的化學吸附層及物理吸附層的Ta源吸附層。
在步驟P34中,可藉由將吹洗氣體供應至基板上而移除可能位於所述基板上的不必要的副產物。
所述吹洗氣體可包括例如惰性氣體(例如Ar、He或Ne)、N2 氣體等。
在一實施方案中,形成含鉭的膜的方法可更包括對包括Ta源吸附層的基板進行加熱的製程或對包含基板的反應腔室進行熱處理的製程。所述熱處理可在室溫至約400℃、例如約150℃至約400℃的溫度下執行。
在步驟P35中,可將反應氣體供應至在基板上形成的Ta源吸附層上。
在一實施方案中,當形成氮化鉭膜時,反應氣體可包含例如NH3 、單烷基胺、二烷基胺、三烷基胺、有機胺化合物、肼化合物或其組合。
在一實施方案中,當形成氧化鉭膜時,反應氣體可為氧化氣體,例如O2 、O3 、電漿O2 、H2 O、NO2 、NO、N2 O(一氧化二氮)、CO2 、H2 O2 、HCOOH、CH3 COOH、(CH3 CO)2 O或其組合。
在一實施方案中,反應氣體可為還原性或還原氣體,例如H2
在步驟P36中,可藉由將吹洗氣體供應至基板上而移除可能位於所述基板上的不必要的副產物。
所述吹洗氣體可包括例如惰性氣體(例如Ar、He或Ne)、N2 氣體等。
在一實施方案中,為形成含鉭的膜,可同時地或依序地將由通式(I)表示的鉭化合物以及另一前驅物、反應氣體、載體及吹洗氣體中的至少一者供應至基板上。可與由通式(I)表示的鉭化合物一起供應至基板上的所述另一前驅物、反應氣體、載氣及吹洗氣體的詳細內容可與以上所述相同。
為根據圖1及圖3所示製程形成含鉭的膜,由通式(I)表示的鉭化合物可以各種方法來輸送以供應至薄膜形成設備的反應腔室中,例如,供應至圖2A至圖2D中所示的沈積設備200A、200B、200C、200D中的每一者的反應腔室254中。
在形成薄膜的方法中,用於形成含鉭的膜的條件可包括反應溫度(基板溫度)、反應壓力、沈積速率等。
反應溫度可為鉭化合物(例如,由通式(I)表示的鉭化合物)可充分反應的溫度。在一實施方案中,反應溫度可為約100℃或大於100℃、例如約150℃至約400℃或約150℃至約250℃的溫度。
在一實施方案中,在使用熱化學氣相沈積製程或光化學氣相沈積製程時,反應壓力可介於約10帕至大氣壓力的範圍,且在使用電漿化學氣相沈積時,可介於約10帕至約2000帕的範圍。
在一實施方案中,可藉由控制用於供應原料化合物的條件(例如,氣化溫度及氣化壓力)、反應溫度或反應壓力來控制沈積速率。在一實施方案中,在形成薄膜的方法中,含鉭的膜的沈積速率可介於約0.01奈米/分鐘至約100奈米/分鐘、例如約1奈米/分鐘至約50奈米/分鐘的範圍。
當藉由使用原子層沈積製程來形成含鉭的膜時,可對原子層沈積循環的數目進行調整以將含鉭的膜控制至所需厚度。
當藉由使用原子層沈積製程來形成含鉭的膜時,可施加例如電漿、光、電壓等能量。施加所述能量的時間點可以各種方式加以選擇。舉例而言,可在以下時間點處施加例如電漿、光、電壓等能量:在將包含鉭化合物的源氣體引入至反應腔室中的時間點處、在將源氣體吸附至基板上的時間點處、在藉由使用吹洗氣體執行排氣製程的時間點處、在將反應氣體引入至反應腔室中的時間點處或在這些時間點之間。
在一實施方案中,所述形成薄膜的方法可更包括:在藉由使用由通式(I)表示的鉭化合物來形成含鉭的膜之後,使含鉭的膜在惰性氣氛、氧化氣氛或還原性氣氛下退火的製程。在一實施方案中,為填充在含鉭的膜的表面上形成的台階,形成薄膜的方法可視需要更包括使含鉭的膜回流(reflow)的製程。在一實施方案中,退火製程及回流製程中的每一者均可在約200℃至約1,000℃、例如約250℃至約1,000℃的溫度下執行。
在一實施方案中,可藉由使用如在圖2A至圖2D中所示的沈積設備200A、200B、200C及200D來執行參考圖1及圖3所述的形成薄膜的方法。在一實施方案中,可藉由使用批式設備而非單一式設備(例如在圖2A至圖2D中所示的沈積設備200A、200B、200C及200D)來執行參考圖1及圖3所述的形成薄膜的方法,藉此在大量基板上同時形成含鉭的膜。
根據所述形成薄膜的方法,可對與用於形成薄膜的鉭化合物、與鉭化合物一起使用的所述另一前驅物、反應氣體及條件進行適當選擇,藉此形成各種含鉭的膜。在一實施方案中,藉由所述形成薄膜的方法而形成的含鉭的膜可包括例如氮化鉭膜、氧化鉭膜或(例如,金屬)鉭膜。
可對用於形成薄膜的不同組分的前驅物、反應氣體及條件進行適當選擇,藉此可提供使用包含根據一實施例的鉭化合物的用於形成薄膜的原料而製造的薄膜作為所需薄膜,例如金屬、氧化物陶瓷、氮化物陶瓷、玻璃等。在一實施方案中,使用包含鉭化合物的用於形成薄膜的原料而製造的薄膜可包括例如由TaN表示的氮化鉭膜、由Ta2 O3 表示的氧化鉭膜、Ta薄膜、由Ta及Al形成的複合氧化物薄膜、由Ta、Zr及Hf形成的複合氧化物薄膜、由Ta、Si、Zr及Hf形成的複合氧化物薄膜、由Ta、La及Nb形成的複合氧化物薄膜、由Ta、Si、La及Nb形成的複合氧化物薄膜、Ta摻雜的鐵電複合氧化物薄膜、Ta摻雜的玻璃薄膜等。
藉由所述形成薄膜的方法而製造的含鉭的膜可用於各種目的。舉例而言,含鉭的膜可用於電晶體的閘極、用於金屬線(例如,銅線)的導電障壁膜、電容器的介電膜、液晶的障壁金屬膜、薄膜太陽電池的構件、半導體儀器的構件、奈米結構等。
圖4A至圖4C說明用於闡釋根據實施例的積體電路裝置的圖。圖4A示出包括具有鰭片場效電晶體結構的第一電晶體TR51及第二電晶體TR52的積體電路裝置500的主要組件的立體圖,圖4B示出沿圖4A所示線B1-B1'及B2-B2'截取的剖視圖,且圖4C示出沿圖4A所示線C1-C1'及C2-C2'截取的剖視圖。
積體電路裝置500可包括第一鰭片型(例如,鰭片狀)主動區F1及第二鰭片型主動區F2,第一鰭片型主動區F1及第二鰭片型主動區F2分別在與基板510的主平面垂直的方向(Z方向)上自基板510的第一區I及第二區II突起。
第一區I及第二區II指代基板510的不同區,且可為在基板510上執行不同功能的區。需要不同臨限電壓的第一電晶體TR51及第二電晶體TR52可分別形成於第一區I及第二區II中。在一實施方案中,第一區I可為P型金屬氧化物半導體(PMOS)電晶體區,且第二區II可為N型金屬氧化物半導體(NMOS)電晶體區。
第一鰭片型主動區F1及第二鰭片型主動區F2可沿一個方向(圖4A至圖4C中的Y方向)延伸。在第一區I及第二區II中,第一裝置隔離膜512及第二裝置隔離膜514形成於基板510上,第一裝置隔離膜512及第二裝置隔離膜514分別覆蓋第一鰭片型主動區F1的下側壁及第二鰭片型主動區F2的下側壁。第一鰭片型主動區F1自第一裝置隔離膜512向上突起呈鰭片狀,且第二鰭片型主動區F2自第二裝置隔離膜514向上突起呈鰭片狀。
第一鰭片型主動區F1及第二鰭片型主動區F2可在其上側分別具有第一通道區CH1及第二通道區CH2。在第一通道區CH1中形成有P型通道,且在第二通道區CH2中形成有N型通道。
在一實施方案中,第一鰭片型主動區F1及第二鰭片型主動區F2中的每一者均可包含單一材料。在一實施方案中,分別包括第一通道區CH1及第二通道區CH2的第一鰭片型主動區F1及第二鰭片型主動區F2可在其所有區中包含Si。在一實施方案中,第一鰭片型主動區F1及第二鰭片型主動區F2可分別包括包含Ge的區以及包含Si的區。
第一裝置隔離膜512及第二裝置隔離膜514中的每一者均可包括含矽的絕緣膜(例如氧化矽膜、氮化矽膜、氮氧化矽膜、碳氮化矽膜等)、多晶矽或其組合。
在第一區I中,第一閘極結構GA可在與第一鰭片型主動區F1的延伸方向相交的方向(圖4A至圖4C中的X方向)上在第一鰭片型主動區F1上延伸,第一閘極結構GA包括依序堆疊的第一界面膜522A、第一高介電常數(K)介電膜524A、第一蝕刻終止層526A、第一功函數調整層528、第二功函數調整層529及第一間隙填充閘極膜530A。第一電晶體TR51形成於第一鰭片型主動區F1與第一閘極結構GA相交的點處。
在第二區II中,第二閘極結構GB可在與第二鰭片型主動區F2的延伸方向相交的方向(圖4A至圖4C中的X方向)上在第一鰭片型主動區F2上延伸,第二閘極結構GB包括依序堆疊的第二界面膜522B、第二高K介電膜524B、第二蝕刻終止層526B、第二功函數調整層529及第二間隙填充閘極膜530B。第二電晶體TR52可形成於第二鰭片型主動區F2與第二閘極結構GB相交的點處。
第一界面膜522A及第二界面膜522B可包括藉由分別氧化第一鰭片型主動區F1的表面及第二鰭片型主動區F2的表面而獲得的膜。在一實施方案中,第一界面膜522A及第二界面膜522B中的每一者均可包括具有約9或小於9的介電常數的低K材料層,例如氧化矽膜、氮氧化矽膜或其組合。在一實施方案中,第一界面膜522A及第二界面膜522B中的每一者均可具有例如約5埃至約20埃的厚度。在一實施方案中,第一界面膜522A及/或第二界面膜522B可被省略。
第一高K介電膜524A及第二高K介電膜524B中的每一者均可包含具有較氧化矽膜高的介電常數的金屬氧化物。在一實施方案中,第一高K介電膜524A及第二高K介電膜524B中的每一者均可具有約10至約25的介電常數。第一高K介電膜524A及第二高K介電膜524B中的每一者均可包含例如氧化鉿、氮氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅或其組合。
第一高K介電膜524A及第二高K介電膜524B可藉由原子層沈積製程或化學氣相沈積製程而形成。第一高K介電膜524A及第二高K介電膜524B中的每一者均可具有例如約10埃至約40埃的厚度。
當第一高K介電膜524A及第二高K介電膜524B中的每一者均包括含Ta的膜時,第一高K介電膜524A及/或第二高K介電膜524B可藉由使用薄膜形成原料而形成,所述薄膜形成原料包含如上所述由通式(I)表示的鉭化合物。
在一實施方案中,第一蝕刻終止層526A及第二蝕刻終止層526B中的每一者均可包括TaN膜。在一實施方案中,第一蝕刻終止層526A及/或第二蝕刻終止層526B可藉由化學氣相沈積製程或原子層沈積製程且藉由使用薄膜形成原料並使用含氮原子的反應氣體(例如,NH3 氣體)而形成,所述薄膜形成原料包含如上所述由通式(I)表示的鉭化合物。
第一功函數調整層528可用於調整P型電晶體的功函數,且可包含例如TiN。
第二功函數調整層529可用於調整N型電晶體的功函數,且可包含例如TiAl、TiAlC、TiAlN、TaC、TiC、HfSi或其組合。
第一間隙填充閘極膜530A及第二間隙填充閘極膜530B中的每一者可包含例如W。
在一實施方案中,導電障壁膜可介置於第二功函數調整層529與第一間隙填充閘極膜530A之間及/或第二功函數調整層529與第二間隙填充閘極膜530B之間。在一實施方案中,導電障壁膜可包含金屬氮化物,例如TiN、TaN或其組合。
可在第一鰭片型主動區F1中在第一閘極結構GA的兩側處形成一對第一源極/汲極區562。可在第二鰭片型主動區F2中在第二閘極結構GB的兩側處形成一對第二源極/汲極區564。
所述一對第一源極/汲極區562及所述一對第二源極/汲極區564可分別包括在第一鰭片型主動區F1及第二鰭片型主動區F2上磊晶生長的半導體層。所述一對第一源極/汲極區562及所述一對第二源極/汲極區564中的每一者均可包括嵌式SiGe結構,所述嵌式SiGe結構包括多個磊晶生長SiGe層、磊晶生長Si層或磊晶生長SiC層。
在一實施方案中,所述一對第一源極/汲極區562及所述一對第二源極/汲極區564可具有各種合適的截面形狀。
第一電晶體TR51及第二電晶體TR52中的每一者均可包括其中在第一鰭片型主動區F1及第二鰭片型主動區F2中的每一者的上表面及兩個側表面上形成有通道的三維結構MOS電晶體。MOS電晶體可構成NMOS電晶體或PMOS電晶體。
在第一區I及第二區II中,絕緣間隔壁572可形成於第一閘極結構GA及第二閘極結構GB中的每一者的兩側上。在一實施方案中,如在圖4C中所示,覆蓋絕緣間隔壁572的絕緣層578可形成於第一閘極結構GA及第二閘極結構GB中的每一者的相對側處,其中絕緣間隔壁572位於第一閘極結構GA及第二閘極結構GB中的每一者與絕緣層578之間。絕緣間隔壁572可包括氧化矽膜,且絕緣層578可包括氧化矽膜。
圖5A至圖5H說明在根據實施例的一種製造積體電路裝置的方法中的各階段的剖視圖。將參考圖5A至圖5H來闡述製造圖4A至圖4C中所示的積體電路裝置500的方法。在圖5A至圖5H中,與圖4A至圖4C相同的參考編號指示相同的構件,且其詳細內容可被省略。
參考圖5A,製備包括第一區I及第二區II的基板510。
基板510可包含例如Si或Ge等半導體或例如SiGe、SiC、GaAs、InAs或InP等化合物半導體。在一實施方案中,基板510可包含III-V族材料及IV族材料中的至少一者。III-V族材料可為包含至少一種III族元素及至少一種V族元素的二元、三元或四元化合物。III-V族材料可為包含作為III族元素的In、Ga及Al中的至少一種元素以及作為V族元素的As、P及Sb中的至少一種元素的化合物。在一實施方案中,III-V族材料可自例如InP、Inz Ga1-z As(0≤z≤1)及Alz Ga1-z As(0≤z≤1)中選擇。二元化合物可為例如InP、GaAs、InAs、InSb及GaSb中的一者。三元化合物可為例如InGaP、InGaAs、AlInAs、InGaSb、GaAsSb及GaAsP中的一者。IV族材料可為例如Si或Ge。可使用III-V族材料及IV族材料(例如Ge)來作為使得能夠製成低功率高速度電晶體的通道材料。可藉由以下方式來形成高效能互補式金屬氧化物半導體(CMOS):使用包含所具有的電子遷移率較Si高的III-V族材料(例如,GaAs)的半導體基板,且使用包含所具有的電洞遷移率較Si高的半導體材料(例如,Ge)的半導體基板。在一實施方案中,當NMOS電晶體形成於基板510上時,基板510可包含以上所述的示例性III-V族材料中的一者。在一實施方案中,當PMOS電晶體形成於基板510上時,基板510的至少一部分可包含Ge。在一實施方案中,基板510可具有絕緣體上覆矽(silicon on insulator,SOI)結構。基板510可包括導電區,例如,雜質摻雜阱或雜質摻雜結構。
可藉由對基板510的某些區進行蝕刻而在基板510的第一區I及第二區II中形成多個溝槽,藉此形成第一鰭片型主動區F1及第二鰭片型主動區F2,第一鰭片型主動區F1及第二鰭片型主動區F2沿與基板510的主平面垂直的方向(Z方向)自基板510向上突起且在一個方向(Y方向)上延伸。另外,在所述多個溝槽中形成分別覆蓋第一鰭片型主動區F1的下側壁及第二鰭片型主動區F2的下側壁的第一裝置隔離膜512及第二裝置隔離膜514。
接下來,在第一區I及第二區II中,可分別在第一鰭片型主動區F1及第二鰭片型主動區F2的上側以及第一裝置隔離膜512及第二裝置隔離膜514的上側上形成第一虛設閘極DG1及第二虛設閘極DG2,且分別在第一虛設閘極DG1及第二虛設閘極DG2的兩側處形成絕緣間隔壁572與所述一對第一源極/汲極區562及所述一對第二源極/汲極區564。接著,絕緣膜578可覆蓋所述一對第一源極/汲極區562及所述一對第二源極/汲極區564中的每一者。
第一虛設閘極DG1及第二虛設閘極DG2中的每一者均可包含多晶矽。
參考圖5B,在第一區I及第二區II中,藉由移除第一虛設閘極DG1及第二虛設閘極DG2而分別使第一閘極空間GS1及第二閘極空間GS2成為空的。接著,在第一閘極空間GS1內的第一鰭片型主動區F1的被暴露表面上形成第一界面膜522A,且在第二閘極空間GS2內的第二鰭片型主動區F2的被暴露表面上形成第二界面膜522B。
接著,形成分別覆蓋第一區I的被暴露表面及第二區II的被暴露表面的第一高K介電膜524A及第二高K介電膜524B。第一高K介電膜524A可共形地覆蓋在第一閘極空間GS1的底表面上被暴露出的第一界面膜522A以及在第一閘極空間GS1的側壁上被暴露出的絕緣間隔壁572。第二高K介電膜524B可共形地覆蓋在第二閘極空間GS2的底表面上被暴露出的第二界面膜522B以及在第二閘極空間GS2的側壁上被暴露出的絕緣間隔壁572。
第一高K介電膜524A與第二高K介電膜524B可同時形成。第一高K介電膜524A與第二高K介電膜524B可包含相同的材料。
參考圖5C,可形成覆蓋第一區I中的第一高K介電膜524A的第一蝕刻終止層526A以及覆蓋第二區II中的第二高K介電膜524B的第二蝕刻終止層526B。
第一蝕刻終止層526A及第二蝕刻終止層526B中的每一者均可包括TaN膜。在一實施方案中,可藉由參考圖1及圖3所述的形成薄膜的方法且藉由使用包含如上所述由通式(I)表示的鉭化合物的薄膜形成原料並且使用含氮原子的反應氣體(例如,NH3 氣體)來形成第一蝕刻終止層526A及第二蝕刻終止層526B。
在一實施方案中,可使用化學氣相沈積製程來形成第一蝕刻終止層526A及第二蝕刻終止層526B。在一實施方案中,為形成第一蝕刻終止層526A及第二蝕刻終止層526B,可同時將由通式(I)表示的鉭化合物及含氮原子的反應氣體供應至第一蝕刻終止層526A及第二蝕刻終止層526B上。
在一實施方案中,可使用原子層沈積製程來形成第一蝕刻終止層526A及第二蝕刻終止層526B。在一實施方案中,為形成第一蝕刻終止層526A及第二蝕刻終止層526B,可執行以下製程:第一製程,藉由將由通式(I)表示的鉭化合物供應至高K介電膜上而在第一高K介電膜524A及第二高K介電膜524B上形成鉭化合物吸附層;第二製程,藉由使用吹洗氣體(例如,Ar)來移除可能位於基板510上的不必要的副產物;第三製程,藉由將反應氣體供應至鉭化合物吸附層上而使鉭化合物吸附層與含氮原子的反應氣體反應;以及第四製程,藉由使用吹洗氣體(例如,Ar)來移除可能位於基板510上的不必要的副產物。在一實施方案中,可依序地重複第一製程至第四製程多次,直至獲得具有所需厚度的第一蝕刻終止層526A及第二蝕刻終止層526B。
在一實施方案中,由通式(I)表示的鉭化合物可在室溫及環境壓力下為液體。舉例而言,鉭化合物可具有相對低的熔點,且可以液態遞送。在一實施方案中,鉭化合物可因其相對高的蒸氣壓力而易於氣化,且可有利於鉭化合物的遞送。因此,鉭化合物可適合用作用於在沈積製程(例如原子層沈積、化學氣相沈積等)中形成含鉭的膜的前驅物,其中原料化合物以氣化狀態供應。舉例而言,鉭化合物可因其相對高的蒸氣壓力而易於輸送至具有相對高的長寬比的結構,且可在具有相對高的長寬比的結構上形成表現出良好的台階覆蓋率的含鉭的膜。
參考圖5D,在第一區I及第二區II中,可在第一蝕刻終止層526A及第二蝕刻終止層526B上形成第一功函數調整層528。
在一實施方案中,第一功函數調整層528可包含例如TiN。
參考圖5E,可在第一區I上形成遮罩圖案592,以使得遮罩圖案592覆蓋第一區I中的第一功函數調整層528而暴露出第二區II中的第一功函數調整層528。接下來,可藉由使用遮罩圖案592作為蝕刻遮罩來移除第二區II中的第一功函數調整層528而暴露出第二蝕刻終止層526B。
為移除第二區II中的第一功函數調整層528,可使用濕式或乾式蝕刻製程。在一實施方案中,為移除第一功函數調整層528,可使用包含H2 O2 的蝕刻溶液來執行蝕刻製程。在一實施方案中,第二蝕刻終止層526B可為使用包含如上所述由通式(I)表示的鉭化合物的薄膜形成原料而形成的膜,且可對包含H2 O2 的蝕刻溶液具有優異的耐蝕刻性。舉例而言,儘管在使用包含H2 O2 的蝕刻溶液來移除第一功函數調整層528之後第二蝕刻終止層526B會暴露至蝕刻溶液中所包含的H2 O2 ,但第二蝕刻終止層526B可不被H2 O2 破壞,或可不遭受成分的改變,而是可具有強的耐氧原子穿透性。
相比之下,假若藉由使用不同前驅物(例如,五(二甲基胺基)鉭(PDMAT))作為Ta源來形成TaN膜以形成包含TaN的第二蝕刻終止層526B,則當藉由使用五(二甲基胺基)鉭而形成的TaN膜暴露至包含H2 O2 的蝕刻溶液時,被暴露TaN膜會變成Ta氧化物膜,乃因氧可穿透至被暴露Ta膜。因此,此會不利地影響意欲形成的閘極堆疊結構的功函數。另外,五(二甲基胺基)鉭在室溫下為固體,且在使用原子層沈積或化學氣相沈積形成薄膜的製程中可能不利於對五(二甲基胺基)鉭進行處理。因此,五(二甲基胺基)鉭就生產率而言具有缺點。
根據一實施例,可使用包含由通式(I)表示的鉭化合物的薄膜形成原料來形成第二蝕刻終止層526B,且即使在移除第一功函數調整層528的同時第二蝕刻終止層526B暴露至蝕刻溶液中所包含的H2 O2 時,仍可對H2 O2 具有優異的耐蝕刻性。因此,無需擔心第二蝕刻終止層526B會被破壞或遭受成分的改變,且不會對達成意欲形成的閘極的所需功函數產生不利影響。
參考圖5F,可移除遮罩圖案592(參見圖5E),然後形成第二功函數調整層529,第二功函數調整層529覆蓋第一區I中的第一功函數調整層528並覆蓋第二區II中的第二蝕刻終止層526B。
第二功函數調整層529可包含例如TiAl、TiAlC、TiAlN、TaC、TiC、HfSi或其組合。
參考圖5G,可形成第一間隙填充閘極膜530A,第一間隙填充閘極膜530A填充第一區I中第二功函數調整層529之上的第一閘極空間GS1的其餘部分,且可形成第二間隙填充閘極膜530B,第二間隙填充閘極膜530B填充第二區II中第二功函數調整層529之上的第二閘極空間GS2的其餘部分。
第一間隙填充閘極膜530A及/或第二間隙填充閘極膜530B可包含例如W。在一實施方案中,第一間隙填充閘極膜530A與第二間隙填充閘極膜530B可同時形成。
在一實施方案中,所述製造積體電路裝置500的方法可更包括在形成第一間隙填充閘極膜530A及第二間隙填充閘極膜530B之前在以下位置形成導電障壁膜的製程:在第一區I中的第二功函數調整層529與第一間隙填充閘極膜530A之間及/或在第二區II中的第二功函數調整層529與第二間隙填充閘極膜530B之間。在一實施方案中,導電障壁膜可包含金屬氮化物,例如TiN、TaN或其組合。
參考圖5H,在第一區I及第二區II中,可移除覆蓋絕緣膜578的上表面的層,直至暴露出絕緣膜578的上表面,藉此分別在第一閘極空間GS1及第二閘極空間GS2內形成第一閘極結構GA及第二閘極結構GB,且完成第一電晶體TR51及第二電晶體TR52。
在一實施方案中,所述製造記憶體電路裝置的方法可包括包含三維結構化通道的鰭片場效電晶體。在一實施方案中,可形成包含具有根據一實施例的特徵的平坦金屬氧化物半導體場效電晶體(MOSFET)的積體電路裝置。
圖6A至圖6J說明在根據實施例的一種製造積體電路裝置600(參見圖6J)的方法中的各階段的剖視圖。在圖6A至圖6J中,與圖5A至圖5H相同的參考編號指示相同的構件,且其詳細內容可被省略。
參考圖6A,可在包括多個主動區AC的基板510上形成層間介電質620,然後形成多個導電區624,所述多個導電區624穿透層間介電質620且分別連接至所述多個主動區AC。
所述多個主動區AC可由形成於基板510上的多個裝置隔離區612界定。裝置隔離區612可包括氧化矽膜、氮化矽膜、氮氧化矽膜或其組合。
層間介電質620可包括氧化矽膜。
所述多個導電區624可連接至形成於基板510上的開關裝置(例如場效電晶體)的一個端子。所述多個導電區624可包含例如多晶矽、金屬、導電金屬氮化物、金屬矽化物或其組合。
參考圖6B,可形成覆蓋層間介電質620及所述多個導電區624的絕緣層628。絕緣層628可用作蝕刻終止層。
絕緣層628可包含對層間介電質620及在後續製程中形成的模具膜(mold film)630(參見圖6C)具有蝕刻選擇性的絕緣材料。在一實施方案中,絕緣層628可包含氮化矽、氮氧化矽或其組合。
在一實施方案中,絕緣層628可具有例如約100埃至約600埃的厚度。
參考圖6C,可在絕緣層628上形成模具膜630。
在一實施方案中,模具膜630可包括氧化物膜。舉例而言,模具膜630可包括氧化物膜,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、未摻雜矽酸鹽玻璃(undoped silicate glass,USG)、旋塗介電質(spin on dielectric,SOD)、藉由高密度電漿化學氣相沈積(high density plasma chemical vapor deposition,HDP CVD)製程形成的氧化物膜等。為形成模具膜630,可使用熱化學氣相沈積製程或電漿化學氣相沈積製程。在一實施方案中,模具膜630可具有例如約1000埃至約20,000埃的厚度。
在一實施方案中,模具膜630可包括支撐膜。所述支撐膜可由對模具膜630具有蝕刻選擇性的材料形成,且可具有約50埃至約3000埃的厚度。當在後續製程中藉由HF/NH4 F/H2 O蝕刻劑(LAL)剝離製程移除模具膜630時,所述支撐膜可包含對於蝕刻氣氛(例如對於HF/NH4 F/H2 O蝕刻劑)具有相對低的蝕刻速率的材料。在一實施方案中,所述支撐膜可包含例如氮化矽、碳氮化矽、氧化鉭、氧化鈦或其組合。
參考圖6D,可在模具膜630上依序形成犧牲膜642及遮罩圖案644。
犧牲膜642可包括氧化物膜,例如硼磷矽酸鹽玻璃、磷矽酸鹽玻璃、未摻雜矽酸鹽玻璃、旋塗介電質、藉由高密度電漿化學氣相沈積製程而形成的氧化物膜等。犧牲膜642可具有約500埃至約2,000埃的厚度。犧牲膜642可保護模具膜630中所包括的支撐膜。
遮罩圖案644可包括氧化物膜、氮化物膜、多晶矽膜、光阻膜或其組合。其中形成有電容器的下部電極的區可由遮罩圖案644界定。
參考圖6E,可使用遮罩圖案644作為蝕刻遮罩且使用絕緣層628作為蝕刻終止層來對犧牲膜642及模具膜630進行乾式蝕刻,藉此形成界定多個孔H1的犧牲圖案642P及模圖案630P。
在一實施方案中,絕緣層628亦可因過度蝕刻而被蝕刻,藉此可形成暴露出所述多個導電區624的絕緣圖案628P。
參考圖6F,可自圖6E所得結構移除遮罩圖案644,然後形成用於形成下部電極的導電膜650,導電膜650覆蓋所述多個孔H1中每一者的內側壁、絕緣圖案628P的被暴露表面、所述多個孔H1內部的所述多個導電區624中每一者的被暴露表面以及犧牲圖案642P的被暴露表面。
可在所述多個孔H1的側壁上共形地形成用於形成下部電極的導電膜650,以使得所述多個孔H1中每一者的內部空間部分地保留。
在一實施方案中,用於形成下部電極的導電膜650可包含經摻雜的半導體、導電金屬氮化物、金屬、金屬矽化物、導電氧化物或其組合。舉例而言,用於形成下部電極的導電膜650可包含TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2 、SrRuO3 、Ir、IrO2 、Pt、PtO、SRO(SrRuO3 )、BSRO((Ba,Sr)RuO3 )、CRO(CaRuO3 )、LSCO((La,Sr)CoO3 )或其組合。
為形成用於形成下部電極的導電膜650,可使用化學氣相沈積製程、金屬有機化學氣相沈積(metal organic CVD,MOCVD)製程或原子層沈積製程。在一實施方案中,用於形成下部電極的導電膜650可具有例如約20奈米至約100奈米的厚度。
參考圖6G,可部分地移除用於形成下部電極的導電膜650的上側,藉此將用於形成下部電極的導電膜650劃分成多個下部電極LE。
為形成所述多個下部電極LE,可藉由使用回蝕或化學機械研磨(chemical mechanical polishing,CMP)製程來移除用於形成下部電極的導電膜650的上側的一部分以及犧牲圖案642P(參見圖6F),直至暴露出模圖案630P的上表面。
所述多個下部電極LE可穿過絕緣圖案628P連接至導電區624。
參考圖6H,移除模圖案630P,藉此暴露出具有圓柱形狀的所述多個下部電極LE的外壁。
可藉由使用HF/NH4 F/H2 O蝕刻劑或氫氟酸的剝離製程來移除模圖案630P。
參考圖6I,可在所述多個下部電極LE上形成介電膜660。
介電膜660可共形地覆蓋所述多個下部電極LE的被暴露表面。
可藉由原子層沈積製程來形成介電膜660。為形成介電膜660,可使用已參考圖1及圖3所述的根據一實施例的所述形成薄膜的方法。
在一實施方案中,介電膜660可包括例如Ta2 O5 膜。舉例而言,介電膜660可包括單層Ta2 O5 膜,或可包括多層,所述多層包含至少一個Ta2 O5 膜以及至少一個包含氧化物、金屬氧化物、氮化物或其組合的介電膜。在一實施方案中,介電膜660可包括至少一個Ta2 O5 膜與至少一個選自ZrO2 膜及Al2 O3 膜的高K介電膜的組合。
在一實施方案中,介電膜660可具有例如約50埃至約150埃的厚度。
參考圖6J,可在介電膜660上形成上部電極UE。
下部電極LE、介電膜660及上部電極UE可構成電容器670。
上部電極UE可包含經摻雜的半導體、導電金屬氮化物、金屬、金屬矽化物、導電氧化物或其組合。在一實施方案中,上部電極UE可包含例如TiN、TiAlN、TaN、TaAlN、W、WN、Ru、RuO2 、SrRuO3 、Ir、IrO2 、Pt、PtO、SRO(SrRuO3 )、BSRO((Ba,Sr)RuO3 )、CRO(CaRuO3 )、LSCO((La,Sr)CoO3 )或其組合。
為形成上部電極UE,可使用化學氣相沈積製程、金屬有機化學氣相沈積製程、物理氣相沈積或原子層沈積製程。
在一實施方案中,所述製造積體電路裝置600的方法可包括形成覆蓋圓柱形下部電極LE的表面的介電膜660的製程。在一實施方案中,可形成不具有內部空間的支柱型下部電極而非圓柱形下部電極LE,且可在支柱型下部電極上形成介電膜660。
藉由參考圖6A至圖6J所述的根據實施例的所述方法而形成的積體電路裝置600的電容器670可包括具有三維電極結構以增大其電容的下部電極LE。為補償因設計規則的減少而造成的電容的減小,可增大三維結構化下部電極LE的長寬比。為在窄且深的三維空間中形成高品質介電膜,可使用原子層沈積製程或化學氣相沈積製程。根據一實施例的鉭化合物可具有相對低的熔點,可以液態遞送,且可因其相對高的蒸氣壓力而易於氣化,因而可有利於鉭化合物的遞送。因此,在藉由使用原子層沈積製程或化學氣相沈積製程而在下部電極LE上形成介電膜600時,包含用於形成介電膜600的鉭化合物的原料化合物可易於遞送至具有相對高長寬比的結構。因此,可在具有相對高長寬比的下部電極LE上形成表現出良好台階覆蓋率的介電膜600。
圖7對示出根據實施例的電子裝置的主要組件的方塊圖進行說明。
電子裝置1100可包括控制器1110、輸入/輸出裝置1120、記憶體1130及界面1140。電子裝置1100可為行動系統或者傳送或接收資訊的系統。在某些實施例中,行動系統可包括個人數位助理(personal digital assistant,PDA)、可攜式電腦、網路平板、無線電話、行動電話、數位音樂播放機及記憶卡中的至少一者。
在一實施方案中,控制器1110可為微處理器、數位訊號處理器或微控制器。
輸入/輸出裝置1120可用於電子裝置1100的資料輸入及輸出。電子裝置1100可藉由使用輸入/輸出裝置1120而連接至位於電子裝置1100外部的裝置(例如個人電腦或網路),且可與外部裝置交換資料。在一實施方案中,輸入/輸出裝置1120為小鍵盤、鍵盤或顯示器。
在一實施方案中,記憶體1130儲存用於控制器1110的操作的碼及/或資料。在某些其他實施例中,記憶體1130儲存經控制器1110處理的資料。控制器1110及記憶體1130中的至少一者包括藉由根據本發明概念的形成薄膜的方法而形成的含鉭的膜、藉由參考圖5A至圖5H所述的方法而形成的積體電路裝置500或藉由參考圖6A至圖6J所述的方法而形成的積體電路裝置600。
界面1140可用作電子裝置1100與位於電子裝置1100外部的其他裝置之間的資料傳送路徑。控制器1110、輸入/輸出裝置1120、記憶體1130及界面1140可經由匯流排1150而彼此通訊。
電子裝置1100可包含於行動電話、MP3播放機、導航系統、可攜式多媒體播放機(portable multimedia player,PMP)、固態硬碟(solid state disk,SSD)或家用電器中。
以下,將參考某些實例更詳細地闡釋鉭化合物及形成薄膜的方法。
提供以下實例及比較例是為了強調一或多個實施例的特性,但應理解,所述實例及比較例不應被視為限制所述實施例的範圍,且所述比較例不應視為處於所述實施例的範圍之外。此外,應理解,所述實施例並非僅限於所述實例及比較例中所述的特定細節。
實例1
由式12表示的鉭化合物的合成
向500毫升4頸燒瓶中添加了20.0克(55.8毫莫耳)氯化鉭(V)、15.2克(112毫莫耳)氯化鋅及154克甲苯。向所述燒瓶中逐滴地添加了5.03克(55.8毫莫耳)乙二醇二甲醚,然後將組分攪拌了1小時。在將反應液體冷卻至0℃的同時向所述反應液體逐滴地添加了9.90克(167毫莫耳)異丙基胺。在逐滴添加完成之後,將所述反應液體加熱至25℃,然後在25℃下將所述反應液體攪拌12小時。在攪拌完成之後,對所述反應液體進行了過濾,藉此獲得一種液體。自所獲得液體移除了溶劑,然後向所得物添加了150克甲苯,藉此獲得溶液A。
向不同的300毫升4頸燒瓶中添加了10.3克(102毫莫耳)二異丙基胺及87克甲苯,然後在將所述燒瓶冷卻至0℃的同時向所述燒瓶中逐滴地添加了64.2毫升正丁基鋰溶解於正己烷中的溶液(正丁基鋰的量:98.9毫莫耳)。在逐滴添加完成之後,在25℃下將組分攪拌了4小時,藉此製備鋰二異丙基醯胺溶液A。
在將溶液A冷卻至0℃的同時向所述溶液A逐滴地添加了鋰二異丙基醯胺溶液A。在逐滴添加完成之後,在25℃下將組分攪拌了12小時,且然後在回流條件下攪拌了6小時。對反應液體進行了過濾,然後向所述反應液體中添加了13.5毫升甲基鋰溶解於二乙醚中的溶液(甲基鋰的量:15.6毫莫耳),且然後在25℃下攪拌了4小時。對反應液體進行了過濾,然後自所述反應液體移除溶劑。接下來,在115℃的浴溫度下且在50帕下對所得物進行了蒸餾,藉此獲得5.04克淺黃色透明液體(產率:19.9%)。
(分析)
(1)在大氣壓力下進行熱重量法-微差熱分析(Thermogravimetry-Differential Thermal Analysis,TG-DTA)
50%質量減少溫度:175℃(Ar流速:100毫升/分鐘,加熱速率:10℃/分鐘,樣品量:4.070毫克)
(2)在減壓下進行熱重量法-微差熱分析
50%質量減少溫度:118℃(10托,Ar流速:50毫升/分鐘,加熱速率:10℃/分鐘,樣品量:10.708毫克)
(3)1 H-NMR(溶劑:六氘代苯(hexadeuterobenzene))(化學位移:多重性:H的數目)
(4.65:Sep:1H)(3.57:Sep:4H)(1.48:d:6H)(1.27:d:12H)(1.19:d:12H)(0.45:s:3H)
(4)元素分析
鉭的量(感應耦合電漿-原子發射光譜法(inductively coupled plasma-atomic emission spectrometry,ICP-AES)):40.8%(理論值:39.9%)
C、H及N的量:C 41.4%(理論值:42.4%),H 7.5%(理論值:8.5%),N 8.2%(理論值:9.3%)
(5)大氣壓固體分析探針-飛行時間質譜法(atmospheric solid analysis probe-time-of-flight mass spectrometry,ASAP-TOF MS):m/z 454.2624(理論值:454.2624 [M+H])
圖8對示出由式12表示的鉭化合物的熱重量分析(TGA)的結果的曲線圖進行說明,所述鉭化合物是在實例1中合成。
對於圖8所示評估,在Ar流動氣氛下執行熱重量分析。當以10℃/分鐘的加熱速率對10毫克在實例1中合成的鉭化合物進行加熱時,鉭化合物的質量在192℃下減少了50%。
圖9對示出由式12表示的鉭化合物的熱重量分析(TGA)的其他結果的曲線圖進行說明,所述鉭化合物是在實例1中合成。
在圖9所示評估中,在氨還原氣體的氣氛下執行熱重量分析,以證實鉭化合物與氨的反應性。當以10℃/分鐘的加熱速率對10毫克在實例1中合成的鉭化合物進行加熱時,證實了鉭化合物在約50℃下開始示出質量減少且與氨反應。
圖10對示出由式12表示的鉭化合物的隨著溫度而變化的黏度的量測結果的曲線圖進行說明,所述鉭化合物是在實例1中合成。
作為圖10所示評估的結果,可以看出,由式12表示的鉭化合物的黏度在室溫(約25℃)下為31.9毫帕·秒。
實例2
由式18表示的鉭化合物的合成
向300毫升4頸燒瓶中添加了10.0克(27.9毫莫耳)氯化鉭(V)、7.61克(55.8毫莫耳)氯化鋅及77.0克甲苯。向所述燒瓶中逐滴地添加了2.52克(27.9毫莫耳)乙二醇二甲醚,然後將組分攪拌了1小時。在將反應液體冷卻至0℃的同時向所述反應液體逐滴地添加了6.13克(83.8毫莫耳)第三丁基胺。在逐滴添加完成之後,將所述反應液體加熱至25℃,然後在25℃下將所述反應液體攪拌12小時。在攪拌完成之後,對所述反應液體進行了過濾,藉此獲得一種液體。自所獲得液體移除了溶劑,然後向所得物添加了75克甲苯,藉此獲得溶液B。
向不同的200毫升4頸燒瓶中添加了5.2克(51.4毫莫耳)二異丙基胺及44克甲苯,然後在將所述燒瓶冷卻至0℃的同時向所述燒瓶中逐滴地添加了32.1毫升正丁基鋰溶解於正己烷中的溶液(正丁基鋰的量:49.4毫莫耳)。在逐滴添加完成之後,在25℃下將組分攪拌了4小時,藉此製備鋰二異丙基醯胺溶液B。
在將溶液B冷卻至0℃的同時向所述溶液B逐滴地添加了鋰二異丙基醯胺溶液B。在逐滴添加完成之後,在25℃下將組分攪拌了12小時,且然後在回流條件下攪拌了6小時。對反應液體進行了過濾,然後向所述反應液體中添加了4.7毫升甲基鋰溶解於二乙醚中的溶液(甲基鋰的量:5.5毫莫耳),且然後在25℃下攪拌了4小時。對反應液體進行了過濾,然後自所述反應液體移除溶劑。接下來,在135℃的浴溫度下且在50帕下對所得物進行了蒸餾,藉此獲得1.55克淺黃色透明液體(產率:11.9%)。
(分析)
(1)在大氣壓力下進行TG-DTA
50%質量減少溫度:200℃(Ar流速:100毫升/分鐘,加熱速率:10℃/分鐘,樣品量:10.113毫克)
(2)在減壓下進行TG-DTA
50%質量減少溫度:122℃(10托,Ar流速:50毫升/分鐘,加熱速率:10℃/分鐘,樣品量:10.063毫克)
(3)1 H-NMR(溶劑:六氘代苯)(化學位移:多重性:H的數目)
(3.57:Sep:4H)(1.61:s:9H)(1.27:d:12H)(1.20:d:12H)(0.45:s:3H)
(4)元素分析
鉭的量(ICP-AES):39.5%(理論值:38.7%)
C、H及N的量:C 41.4%(理論值:43.7%),H 7.5%(理論值:8.6%),N 8.1%(理論值:9.0%)
(5)ASAP-TOF MS:m/z 468.2782(理論值:468.2780 [M+H])
實例3
由式48表示的鉭化合物的合成
向500毫升4頸燒瓶中添加了20.0克(55.8毫莫耳)氯化鉭(V)、15.2克(112毫莫耳)氯化鋅及154克甲苯。在環境溫度下向所述燒瓶中逐滴地添加了5.03克(55.8毫莫耳)乙二醇二甲醚,然後將組分攪拌了1小時。在將反應液體冷卻至0℃的同時向所述反應液體逐滴地添加了9.90克(167毫莫耳)異丙基胺。在逐滴添加完成之後,將所述反應液體加熱至25℃,然後在25℃下將所述反應液體攪拌12小時。在攪拌完成之後,對所述反應液體進行了過濾,藉此獲得一種液體。自所獲得液體移除了溶劑,然後向所得物添加了150克甲苯,藉此獲得溶液C。
向不同的300毫升4頸燒瓶中添加了10.3克(102毫莫耳)二異丙基胺及87克甲苯,然後在將所述燒瓶冷卻至0℃的同時向所述燒瓶中逐滴地添加了64.2毫升正丁基鋰溶解於正己烷中的溶液(正丁基鋰的量:98.9毫莫耳)。在逐滴添加完成之後,在25℃下將組分攪拌了4小時,藉此製備鋰二異丙基醯胺溶液C。
在將溶液C冷卻至0℃的同時向所述溶液C逐滴地添加了鋰二異丙基醯胺溶液C。在逐滴添加完成之後,在25℃下將組分攪拌了12小時,且然後在回流條件下攪拌了6小時。對反應液體進行了過濾,然後向所述反應液體中添加了21.7毫升異丙基溴化鎂溶解於四氫呋喃中的溶液(異丙基溴化鎂的量:15.6毫莫耳),且然後在25℃下攪拌了4小時。對反應液體進行了過濾,然後自所述反應液體移除溶劑。接下來,在140℃的浴溫度下且在50帕下對所得物進行了蒸餾,藉此獲得3.40克淺黃色透明液體(產率:12.6%)。
(分析)
(1)在大氣壓力下進行TG-DTA
50%質量減少溫度:213℃(Ar流速:100毫升/分鐘,加熱速率:10℃/分鐘,樣品量:9.850毫克)
(2)在減壓下進行TG-DTA
50%質量減少溫度:130℃(10托,Ar流速:50毫升/分鐘,加熱速率:10℃/分鐘,樣品量:9.775毫克)
(3)1 H-NMR(溶劑:六氘代苯)(化學位移:多重性:H的數目)
(4.65:Sep:1H)(3.59:Sep:4H)(1.83:d:6H)(1.48:d:6H)(1.30:d:12H)(1.19:d:12H)(1.16:Sep:1H)
(4)元素分析
鉭的量(ICP-AES):38.2%(理論值:37.6%)
C、H及N的量:C 42.7%(理論值:44.9%),H 8.0%(理論值:8.8%),N 7.5%(理論值:8.7%)
(5)ASAP-TOF MS:m/z 482.2940(理論值:482.2937 [M+H])
實例4
氮化鉭膜的形成
使用由式12、式18及式48表示的各鉭化合物作為原料且使用在圖2A中所示的沈積設備,藉由原子層沈積製程在矽基板上形成了氮化鉭膜。用於形成氮化鉭膜的原子層沈積製程的條件如下。
(條件)
反應溫度(基板溫度):200℃
反應氣體:NH3 100%
(製程)
在以上條件下,當以下一系列製程(1)至製程(4)被界定為1個循環時,重複了250個循環。
製程(1):藉由將用於化學氣相沈積的原料的蒸氣引入反應腔室中而在100帕的壓力下執行沈積10秒的製程,所述蒸氣是藉由在原料容器加熱溫度為70℃且原料容器壓力為100帕的條件下將原料氣化而獲得。
製程(2):藉由執行Ar吹洗10秒而移除未反應原料的製程。
製程(3):藉由將反應氣體引入反應腔室中而在100帕的壓力下執行反應60秒的製程。
製程(4):藉由執行Ar吹洗10秒而移除未反應原料的製程。
對藉由執行以上所述的步驟所獲得的各氮化鉭膜執行以下操作:藉由X射線反射率而進行的膜厚度量測,以及藉由X射線繞射及X射線光電子光譜而進行的對薄膜的結構及成分的分析。結果是,所有所獲得的薄膜均具有10奈米至15奈米的厚度,且所有所述薄膜均具有氮化鉭的成分。薄膜中每一者的碳含量均小於約3.0原子%。另外,原子層沈積製程的每1個循環所獲得的膜的厚度介於約0.04奈米至約0.06奈米的範圍。
圖11對示出藉由使用式12表示的鉭化合物而在實例4中獲得的氮化鉭膜的隨著沈積溫度而變化的沈積速率的量測結果的曲線圖進行說明。
作為圖11所示評估的結果,已證實氮化鉭膜示出了其中沈積速率在具體溫度範圍內恆定的原子層沈積行為。
圖12對示出藉由使用式12表示的鉭化合物而在實例4中獲得的氮化鉭膜的隨著前驅物供應時間而變化的沈積速率的量測結果的曲線圖進行說明。
對於圖12所示評估,使用由式12表示且在實例1中合成的鉭化合物作為被供應至反應腔室中的鉭前驅物,對隨著用於將前驅物供應至反應腔室中的時間而變化的沈積速率進行了評估。結果是,已證實由於由式12表示且在實例1中合成的鉭化合物示出了理想的原子層沈積行為,因此即使前驅物供應時間發生了改變仍獲得了相等的沈積速率。
圖13對示出X射線光電子光譜(XPS)深度剖析結果的曲線圖進行說明,所述X射線光電子光譜(XPS)深度剖析對藉由使用式12表示的鉭化合物而在實例4中獲得的氮化鉭膜的組分的濃度進行分析。
已證實在藉由使用式12表示的鉭化合物而獲得的氮化鉭膜中所偵測的碳原子的量小於約3原子%,且不會產生因前驅物的分解而造成的雜質。
比較例1
使用以下比較化合物1作為用於化學氣相沈積的原料且使用在圖2A中所示的沈積設備,藉由原子層沈積製程在矽基板上形成了氮化鉭膜。
(條件)
反應溫度(基板溫度):200℃
反應氣體:NH3 100%
(製程)
在以上條件下,當以下一系列製程(1)至製程(4)被界定為1個循環時,重複了250個循環。
製程(1):藉由將用於化學氣相沈積的原料的蒸氣引入反應腔室中而在100帕的壓力下執行沈積10秒的製程,所述蒸氣是藉由在原料容器加熱溫度為80°C且原料容器壓力為100帕的條件下將原料氣化而獲得。
製程(2):藉由執行Ar吹洗10秒而移除未反應原料的製程。
製程(3):藉由將反應氣體引入反應腔室中而在100帕的壓力下執行反應60秒的製程。
製程(4):藉由執行Ar吹洗10秒而移除未反應原料的製程。
對所獲得的薄膜執行以下操作:藉由X射線反射率而進行的膜厚度量測,以及藉由X射線繞射及X射線光電子光譜而進行的對薄膜的結構及成分的分析。結果是,所獲得的薄膜具有5奈米的厚度,且具有氮化鉭的成分。薄膜中的碳含量為25.0原子%。另外,原子層沈積製程的每1個循環所獲得的膜的厚度為約0.02奈米。
自實例4及比較例1的結果,可以看出,當使用根據一實施例的鉭化合物作為用於原子層沈積製程的原料時,可因膜中碳的含量低而形成良好品質的氮化鉭薄膜。
實例5
氧化鉭膜的形成
使用由式12、式18及式48表示的各鉭化合物作為原料且使用在圖2A中所示的沈積設備,藉由原子層沈積製程在矽基板上形成了氧化鉭膜。用於形成氧化鉭膜的原子層沈積製程的條件如下。
(條件)
反應溫度(基板溫度):200℃
反應氣體:臭氧20質量%+氧80質量%
(製程)
在以上條件下,當以下一系列製程(1)至製程(4)被界定為1個循環時,重複了250個循環。
製程(1):藉由將用於化學氣相沈積的原料的蒸氣引入反應腔室中而在100帕的壓力下執行沈積10秒的製程,所述蒸氣是藉由在原料容器加熱溫度為70℃且原料容器壓力為100帕的條件下將原料氣化而獲得。
製程(2):藉由執行Ar吹洗10秒而移除未反應原料的步驟。
製程(3):藉由將反應氣體引入反應腔室中而在100帕的壓力下執行反應10秒的製程。
製程(4):藉由執行Ar吹洗10秒而移除未反應原料的製程。
對藉由執行以上所述的製程所獲得的各氧化鉭膜執行以下操作:藉由X射線反射率而進行的膜厚度量測,以及藉由X射線繞射及X射線光電子光譜而進行的對薄膜的結構及成分的分析。結果是,所有所獲得的薄膜均具有20奈米至30奈米的厚度,且所有所述薄膜均具有氧化鉭的成分。薄膜中每一者的碳含量均小於約0.5原子%。另外,原子層沈積製程的每1個循環所獲得的膜的厚度介於約0.08奈米至約0.12奈米的範圍。
自實例5的結果,可以看出,當使用根據一實施例的鉭化合物作為用於原子層沈積製程的原料時,可例如因膜中碳的含量低而形成良好品質的氧化鉭膜。
實例6
金屬鉭膜的形成
使用由式12、式18及式48表示的各鉭化合物作為原料且使用在圖2A中所示的沈積設備,藉由原子層沈積製程在矽基板上形成了金屬鉭膜。用於形成金屬鉭膜的原子層沈積製程的條件如下。
(條件)
反應溫度(基板溫度):250°C
反應氣體:氫氣100%
(步驟)
在以上條件下,當以下一系列製程(1)至製程(4)被界定為1個循環時,重複了250個循環。
製程(1):藉由將用於化學氣相沈積的原料的蒸氣引入反應腔室中而在100帕的壓力下執行沈積10秒的製程,所述蒸氣是藉由在原料容器加熱溫度為70℃且原料容器壓力為100帕的條件下將原料氣化而獲得。
製程(2):藉由執行Ar吹洗10秒而移除未反應原料的製程。
製程(3):藉由將反應氣體引入反應腔室中而在100帕的壓力下執行反應60秒的製程。
製程(4):藉由執行Ar吹洗10秒而移除未反應原料的製程。
對藉由執行以上所述的製程所獲得的各金屬鉭薄膜執行以下操作:藉由X射線反射率而進行的膜厚度量測,以及藉由X射線繞射及X射線光電子光譜而進行的對薄膜的結構及成分的分析。結果是,所有所獲得的薄膜均具有2奈米至7奈米的厚度,且所有所述薄膜均具有金屬鉭的成分。薄膜中每一者的碳含量均小於約5.0原子%。另外,原子層沈積製程的每1個循環所獲得的膜的厚度介於約0.01奈米至約0.03奈米的範圍。
自實例6的結果,可以看出,當使用根據一實施例的鉭化合物作為用於原子層沈積製程的原料時,可例如因膜中碳的含量低而形成良好品質的金屬鉭膜。
綜上所述,用於形成薄膜的原料化合物可為合意的。所述原料能夠在形成含鉭的薄膜時抑制所述薄膜中的不期望的雜質,即使在具有高長寬比的窄且深的空間中仍能夠提供優異的填充性質及優異的台階覆蓋率,且可因易於對原料化合物進行處理而在製程穩定性及大規模生產率方面具有優點。
本發明實施例可提供一種在室溫下為液體的鉭化合物。
本發明實施例可提供一種以下鉭化合物,所述鉭化合物可有助於在形成鉭的薄膜時抑制所述薄膜中的不期望的雜質,且可提供優異的熱穩定性、製程穩定性及大規模生產率,所述鉭化合物為用於形成含鉭的薄膜的原料化合物。
本發明實施例可提供一種藉由使用鉭化合物來形成具有良好品質的含鉭的薄膜的方法,所述鉭化合物可有助於在形成含鉭的薄膜時抑制所述薄膜中的不期望的雜質,且可提供優異的製程穩定性及大規模生產率。
本發明實施例可提供一種藉由使用鉭化合物來形成具有良好品質的含鉭的薄膜以製造能夠提供所需電性質的積體電路裝置的方法,所述鉭化合物可有助於在形成含鉭的薄膜時抑制所述薄膜中的不期望的雜質,且可提供優異的製程穩定性及大規模生產率。
根據一實施例,鉭化合物可表現出足以用於沈積製程的揮發性,且由於鉭化合物因其低熔點而在室溫下呈液態,因此可有利於鉭化合物的處理及輸送。另外,鉭化合物可有助於抑制在意欲使用化學氣相沈積(CVD)製程或原子層沈積(ALD)製程而形成的薄膜中的異物(例如碳殘餘物),且鉭化合物可合適地用作用於形成具有良好品質的含鉭的薄膜的原料。
已在本文中揭露了本發明示例性實施例,且儘管使用具體用語,但所述用語僅用於且僅被解釋為通常意義及闡述性意義,而並非用以限制目的。在某些情形中,除非另外明確地指明,否則如在本申請案提出申請之前此項技術中具有通常知識者所理解般,結合特定實施例所闡述的特徵、特性及/或元件可單獨使用或與結合其他實施例所述的特徵、特性及/或元件組合使用。因此,熟習此項技術者應理解,在不背離由下文申請專利範圍所述的本發明的精神及範圍的條件下,可作出各種形式及細節上的變化。
200A、200B、200C、200D‧‧‧沈積設備 210‧‧‧流體輸送單元 212‧‧‧原料容器 214‧‧‧加熱器 222、262、264、266、268‧‧‧流入管線 224‧‧‧流出管線 226‧‧‧旁通管線 250‧‧‧薄膜形成單元 252‧‧‧基座 254‧‧‧反應腔室 256‧‧‧噴頭 258‧‧‧氣化器 270‧‧‧排氣系統 272‧‧‧排氣管線 274‧‧‧真空幫浦 276‧‧‧阱 278‧‧‧旁通管線 280‧‧‧自動壓力控制器 292‧‧‧高頻電源供應器 294‧‧‧射頻匹配系統 500‧‧‧積體電路裝置 510‧‧‧基板 512‧‧‧第一裝置隔離膜 514‧‧‧第二裝置隔離膜 522A‧‧‧第一界面膜 522B‧‧‧第二界面膜 524A‧‧‧第一高K介電膜 524B‧‧‧第二高K介電膜 526A‧‧‧第一蝕刻終止層 526B‧‧‧第二蝕刻終止層 528‧‧‧第一功函數調整層 529‧‧‧第二功函數調整層 530A‧‧‧第一間隙填充閘極膜 530B‧‧‧第二間隙填充閘極膜 562‧‧‧第一源極/汲極區 564‧‧‧第二源極/汲極區 572‧‧‧絕緣間隔壁 578‧‧‧絕緣層/絕緣膜 592‧‧‧遮罩圖案 600‧‧‧積體電路裝置 612‧‧‧裝置隔離區 620‧‧‧層間介電質 624‧‧‧導電區 628‧‧‧絕緣層 628P‧‧‧絕緣圖案 630‧‧‧模具膜 630P‧‧‧模圖案 642‧‧‧犧牲膜 642P‧‧‧犧牲圖案 644‧‧‧遮罩圖案 650‧‧‧導電膜 660‧‧‧介電膜 670‧‧‧電容器 1100‧‧‧電子裝置 1110‧‧‧控制器 1120‧‧‧輸入/輸出裝置 1130‧‧‧記憶體 1140‧‧‧界面 1150‧‧‧匯流排 AC‧‧‧主動區 B1-B1'、B2-B2'、C1-C1'、C2-C2'‧‧‧線 CH1‧‧‧第一通道區 CH2‧‧‧第二通道區 DG1‧‧‧第一虛設閘極 DG2‧‧‧第二虛設閘極 F1‧‧‧第一鰭片型主動區 F2‧‧‧第二鰭片型主動區 GA‧‧‧第一閘極結構 GB‧‧‧第二閘極結構 GS1‧‧‧第一閘極空間 GS2‧‧‧第二閘極空間 H1‧‧‧孔 I‧‧‧第一區 II‧‧‧第二區 LE‧‧‧下部電極 M1、M2、M3、M4、M5、MFC‧‧‧質量流控制器 P12、P14、P32、P33、P34、P35、P36‧‧‧步驟 TR51‧‧‧第一電晶體 TR52‧‧‧第二電晶體 UE‧‧‧上部電極 V1、V2、V3、V4、V5、V6、V7、V8、V9‧‧‧閥 W‧‧‧基板 X、Y、Z‧‧‧方向
藉由參考附圖詳細闡述示範性實施例,各特徵將對熟習此項技術者而言顯而易見,在附圖中: 圖1說明根據實施例的一種形成薄膜的方法的流程圖。
圖2A至圖2D說明根據一實施例的能夠用於形成薄膜的製程的示例性沈積設備的架構的示意圖。 圖3說明根據實施例的一種形成含鉭的膜的示例性方法的流程圖。 圖4A至圖4C對示出根據實施例的積體電路裝置的圖進行說明。圖4A說明包括具有鰭片場效電晶體結構的第一電晶體及第二電晶體的積體電路裝置的主要組件的立體圖,圖4B說明沿圖4A所示線B1-B1'及B2-B2'截取的剖視圖,且圖4C說明沿圖4A所示線C1-C1'及C2-C2'截取的剖視圖。 圖5A至圖5H說明在根據實施例的一種製造積體電路裝置的方法中的各階段的剖視圖。 圖6A至圖6J說明在根據實施例的一種製造積體電路裝置的方法中的各階段的剖視圖。 圖7對示出根據實施例的電子裝置的主要組件的示意性方塊圖進行說明。 圖8對示出根據一實施例的鉭化合物的熱重量分析(thermal gravimetric analysis,TGA)的結果的曲線圖進行說明。 圖9對示出根據一實施例的鉭化合物的熱重量分析的其他結果的曲線圖進行說明。 圖10對示出根據一實施例的鉭化合物的隨著溫度而變化的黏度的量測結果的曲線圖進行說明。 圖11對示出使用根據一實施例的鉭化合物所形成的氮化鉭膜的隨著沈積溫度而變化的沈積速率的量測結果的曲線圖進行說明。 圖12對示出使用根據一實施例的鉭化合物所形成的氮化鉭膜的隨著前驅物供應時間而變化的沈積速率的量測結果的曲線圖進行說明。 圖13對示出X射線光電子光譜(X-ray photoelectron spectroscopy,XPS)深度剖析結果的曲線圖進行說明,所述X射線光電子光譜深度剖析(XPS)對使用根據一實施例的鉭化合物所形成的氮化鉭膜的組分的濃度進行分析。
P12、P14‧‧‧步驟

Claims (25)

  1. 一種鉭化合物,由以下通式(I)表示:其中,在通式(1)中, R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且 R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
  2. 如申請專利範圍第1項所述的鉭化合物,其中所述鉭化合物在25℃及1個大氣壓下為液體。
  3. 如申請專利範圍第1項所述的鉭化合物,其中R1 、R3 及R4 中的至少一者為異丙基。
  4. 如申請專利範圍第1項所述的鉭化合物,其中R2 為C1至C5直鏈或分支的烷基。
  5. 如申請專利範圍第1項所述的鉭化合物,其中R1 、R3 及R4 分別獨立地為C1至C5直鏈或分支的烷基。
  6. 如申請專利範圍第1項所述的鉭化合物,其中: R1 、R3 及R4 中的每一者均為異丙基,且 R2 為甲基。
  7. 一種製造積體電路裝置的方法,包括: 在基板上形成下部結構;以及 藉由使用由以下通式(I)表示的鉭化合物而在所述下部結構上形成含鉭的膜:其中,在通式(I)中, R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且 R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
  8. 如申請專利範圍第7項所述的製造積體電路裝置的方法,其中所述鉭化合物在25℃及1個大氣壓下為液體。
  9. 如申請專利範圍第7項所述的製造積體電路裝置的方法,其中: 形成所述下部結構包括:藉由對所述基板的一部分進行蝕刻來形成自所述基板向上突起的多個鰭片狀主動區;以及在所述多個鰭片型主動區上形成高介電常數介電膜,且 形成所述含鉭的膜包括:在所述多個鰭片狀主動區上的所述高介電常數介電膜上形成氮化鉭膜。
  10. 如申請專利範圍第9項所述的製造積體電路裝置的方法,其中形成所述氮化鉭膜包括:供應由通式(I)表示的鉭化合物及含氮原子的反應氣體至所述高介電常數介電膜上。
  11. 如申請專利範圍第9項所述的製造積體電路裝置的方法,其中形成所述氮化鉭膜包括: 藉由供應由通式(I)表示的鉭化合物至所述高介電常數介電膜上而在所述高介電常數介電膜上形成鉭化合物吸附層;以及 藉由供應含氮原子的反應氣體至所述鉭化合物吸附層上而使所述鉭化合物吸附層與所述含氮原子的反應氣體反應。
  12. 如申請專利範圍第9項所述的製造積體電路裝置的方法,更包括:在形成所述氮化鉭膜之後,在所述多個鰭片狀主動區上的所述氮化鉭膜上形成含金屬的閘極層,其中形成所述含金屬的閘極層包括: 在所述多個鰭片狀主動區上的所述氮化鉭膜上形成第一含金屬的膜,其中所述第一含金屬的膜包含與鉭不同的金屬; 藉由對所述第一含金屬的膜的在所述多個鰭片狀主動區的一部分上的部分進行蝕刻且使用所述氮化鉭膜作為蝕刻終止層而暴露出所述含鉭的膜的一部分; 對所述氮化鉭膜的被暴露表面及所述第一含金屬的膜的上表面進行清潔;以及 形成第二含金屬的膜,所述第二含金屬的膜覆蓋所述氮化鉭膜的所述被暴露表面及所述第一含金屬的膜的所述上表面。
  13. 如申請專利範圍第12項所述的製造積體電路裝置的方法,其中藉由對所述第一含金屬的膜的所述部分進行蝕刻而暴露出所述含鉭的膜的所述部分包括:藉由以包含H2 O2 的蝕刻溶液對所述第一含金屬的膜的所述部分進行蝕刻而暴露出所述含鉭的膜的所述部分。
  14. 如申請專利範圍第7項所述的製造積體電路裝置的方法,更包括:在所述基板上形成電容器,以使得所述電容器包括下部電極、介電膜及上部電極, 其中: 形成所述下部結構包括在所述基板上形成所述電容器的所述下部電極,且 形成所述含鉭的膜包括形成氧化鉭膜,所述氧化鉭膜覆蓋所述下部電極的表面。
  15. 如申請專利範圍第14項所述的製造積體電路裝置的方法,其中: 形成所述下部電極包括:在所述基板上形成模圖案,以使得所述模圖案包括暴露出所述基板的導電區的孔;以及形成所述下部電極,以使得所述下部電極具有沿所述孔的內壁延伸的側壁,並且 形成所述氧化鉭膜包括:藉由移除所述模圖案而暴露出所述下部電極的所述側壁;以及形成Ta2 O5 膜,所述Ta2 O5 膜覆蓋所述下部電極的被暴露的所述側壁。
  16. 如申請專利範圍第14項所述的製造積體電路裝置的方法,其中形成所述電容器包括:形成高介電常數介電膜,所述高介電常數介電膜包括所述含鉭的膜與包含不同於鉭的金屬的至少一個金屬氧化物膜的組合。
  17. 一種積體電路裝置,包括: 基板; 下部結構,位於基板上;以及 含鉭的膜,位於所述下部結構上且藉由使用由以下通式(I)表示的鉭化合物而形成:其中,在通式(I)中, R1 、R3 及R4 分別獨立地為C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C4至C20經取代或未經取代的芳香族或脂環族烴基;且 R2 為氫原子、C1至C10經取代或未經取代的直鏈或分支的烷基、烯基或炔基或者C6至C20經取代或未經取代的芳香族或脂環族烴基。
  18. 如申請專利範圍第17項所述的積體電路裝置,其中: 所述下部結構包括自所述基板向上突起的多個鰭片狀主動區以及位於所述多個鰭片型主動區上的高介電常數介電膜,且 位於所述下部結構上的所述含鉭的膜包括位於所述多個鰭片狀主動區上的所述高介電常數介電膜上的氮化鉭膜。
  19. 如申請專利範圍第18項所述的積體電路裝置,其中所述氮化鉭膜為不透氧的。
  20. 如申請專利範圍第18項所述的積體電路裝置,其中所述氮化鉭膜具有小於3.0原子%的碳含量。
  21. 如申請專利範圍第18項所述的積體電路裝置,更包括位於所述多個鰭片狀主動區上的所述氮化鉭膜上的含金屬的閘極層,其中所述含金屬的閘極層包括: 位於所述多個鰭片狀主動區上的所述氮化鉭膜上的第一含金屬的膜,其中所述第一含金屬的膜包含與鉭不同的金屬;以及 第二含金屬的膜,所述第二含金屬的膜覆蓋所述氮化鉭膜的被暴露表面及所述第一含金屬的膜的上表面。
  22. 如申請專利範圍第21項所述的積體電路裝置,其中所述含鉭的膜耐受包含H2 O2 的蝕刻溶液蝕刻。
  23. 如申請專利範圍第17項所述的積體電路裝置,更包括位於所述基板上的電容器,以使得所述電容器包括下部電極、介電膜及上部電極, 其中所述下部結構包括位於所述基板上的所述電容器的所述下部電極,且 所述含鉭的膜包括氧化鉭膜,所述氧化鉭膜覆蓋所述下部電極的表面。
  24. 如申請專利範圍第23項所述的積體電路裝置,其中所述氮化鉭膜具有小於5.0原子%的碳含量。
  25. 如申請專利範圍第17項所述的積體電路裝置,其中所述鉭化合物在25℃及1個大氣壓下為液體。
TW105142135A 2015-12-21 2016-12-20 鉭化合物、積體電路裝置及其製造方法 TWI718220B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020150182788A KR102627456B1 (ko) 2015-12-21 2015-12-21 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
KR10-2015-0182788 2015-12-21

Publications (2)

Publication Number Publication Date
TW201736388A true TW201736388A (zh) 2017-10-16
TWI718220B TWI718220B (zh) 2021-02-11

Family

ID=59063940

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105142135A TWI718220B (zh) 2015-12-21 2016-12-20 鉭化合物、積體電路裝置及其製造方法

Country Status (5)

Country Link
US (2) US10134582B2 (zh)
JP (1) JP6908991B2 (zh)
KR (1) KR102627456B1 (zh)
CN (1) CN106977540B (zh)
TW (1) TWI718220B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728413B (zh) * 2018-07-31 2021-05-21 台灣積體電路製造股份有限公司 半導體裝置與半導體結構之形成方法、以及半導體裝置
TWI748423B (zh) * 2019-05-03 2021-12-01 美商應用材料股份有限公司 加強材料結構的處置

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102365438B1 (ko) * 2014-07-16 2022-02-18 닛산 가가쿠 가부시키가이샤 금속 산화물 반도체층 형성용 조성물 및 그것을 사용한 금속 산화물 반도체층의 제조 방법
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법
US10529862B2 (en) * 2016-11-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming semiconductor fin thereof
US10522387B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and multi-wafer deposition apparatus
US10325911B2 (en) * 2016-12-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US20210033245A1 (en) * 2018-04-09 2021-02-04 Velan Inc. Electronic steam trap
US11524973B2 (en) * 2019-05-14 2022-12-13 Samsung Electronics Co., Ltd. Metal compounds and methods of fabricating semiconductor devices using the same
KR20210027770A (ko) * 2019-09-03 2021-03-11 주식회사 이지티엠 금속 질화물 박막의 형성 방법
CN111534808A (zh) * 2020-05-19 2020-08-14 合肥安德科铭半导体科技有限公司 一种含Ta薄膜的原子层沉积方法及其产物
KR102623858B1 (ko) * 2020-06-04 2024-01-10 삼성전자주식회사 박막 제조용 물질, 이를 이용한 박막의 제조 방법 및 이를 이용한 박막의 제조 설비
KR102523420B1 (ko) * 2020-06-16 2023-04-19 삼성전자주식회사 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
WO2022114782A1 (ko) * 2020-11-24 2022-06-02 주식회사 레이크머티리얼즈 탄탈 화합물, 이의 제조방법 및 이를 포함하는 탄탈 함유 박막증착용 조성물

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
GB9814048D0 (en) 1998-06-30 1998-08-26 Inorgtech Ltd Novel precursors for the growth of heterometal oxide films by MOCVD
US6139922A (en) 1999-05-18 2000-10-31 Gelest, Inc. Tantalum and tantalum-based films formed using fluorine-containing source precursors and methods of making the same
US7098131B2 (en) * 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
GB0213925D0 (en) 2002-06-18 2002-07-31 Univ Dundee Metallisation
JP3909320B2 (ja) 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
JP2005132756A (ja) * 2003-10-29 2005-05-26 Tosoh Corp タンタル化合物、その製造方法およびタンタル含有薄膜の形成方法
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
JP5053543B2 (ja) * 2005-02-02 2012-10-17 東ソー株式会社 タンタル化合物、その製造方法、タンタル含有薄膜、及びその形成方法
US7459392B2 (en) * 2005-03-31 2008-12-02 Intel Corporation Noble metal barrier and seed layer for semiconductors
US7736697B2 (en) 2005-08-08 2010-06-15 E. I. Du Pont De Nemours And Company Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
JP5096016B2 (ja) 2006-02-14 2012-12-12 東ソー株式会社 タンタル化合物とその製造方法、及びそれを原料とするタンタル含有薄膜とその形成方法
US7750173B2 (en) * 2007-01-18 2010-07-06 Advanced Technology Materials, Inc. Tantalum amido-complexes with chelate ligands useful for CVD and ALD of TaN and Ta205 thin films
US9085823B2 (en) 2008-08-01 2015-07-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming a tantalum-containing layer on a substrate
KR20100060481A (ko) * 2008-11-27 2010-06-07 주식회사 유피케미칼 5족 금속 산화물 또는 질화물 박막 증착용 유기금속 전구체화합물 및 이를 이용한 박막 증착 방법
KR20120058762A (ko) 2010-11-30 2012-06-08 한국화학연구원 신규의 탄탈 화합물 및 그 제조 방법
KR20130049020A (ko) 2011-11-03 2013-05-13 솔브레인씨그마알드리치 유한회사 탄탈륨 전구체 화합물 및 이의 제조방법
KR102627456B1 (ko) * 2015-12-21 2024-01-19 삼성전자주식회사 탄탈럼 화합물과 이를 이용한 박막 형성 방법 및 집적회로 소자의 제조 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI728413B (zh) * 2018-07-31 2021-05-21 台灣積體電路製造股份有限公司 半導體裝置與半導體結構之形成方法、以及半導體裝置
TWI748423B (zh) * 2019-05-03 2021-12-01 美商應用材料股份有限公司 加強材料結構的處置

Also Published As

Publication number Publication date
US10134582B2 (en) 2018-11-20
JP2017114850A (ja) 2017-06-29
KR102627456B1 (ko) 2024-01-19
US20170178961A1 (en) 2017-06-22
CN106977540A (zh) 2017-07-25
JP6908991B2 (ja) 2021-07-28
CN106977540B (zh) 2020-07-14
TWI718220B (zh) 2021-02-11
US10651031B2 (en) 2020-05-12
KR20170073947A (ko) 2017-06-29
US20190074175A1 (en) 2019-03-07

Similar Documents

Publication Publication Date Title
TWI718220B (zh) 鉭化合物、積體電路裝置及其製造方法
US10752645B2 (en) Method of forming a thin film
US10259836B2 (en) Methods of forming thin film and fabricating integrated circuit device using niobium compound
JP7185394B2 (ja) スズ化合物、その合成方法、ald用スズ前駆体化合物、及びスズ含有物質膜の形成方法
CN107619419B (zh) 铝化合物以及使用其形成薄膜和制造集成电路器件的方法
US20210388010A1 (en) Organometallic compound and method of manufacturing integrated circuit using the same
TW202200598A (zh) 有機金屬加成物化合物及使用其製造積體電路的方法
KR20210119809A (ko) 몰리브덴 화합물과 이를 이용한 집적회로 소자의 제조 방법
US9790246B2 (en) Nickel compound and method of forming thin film using the nickel compound
US11466043B2 (en) Niobium compound and method of forming thin film
US20220324887A1 (en) Organometallic adduct compound and method of manufacturing integrated circuit device by using the same
KR102523420B1 (ko) 유기금속 화합물 및 이를 이용한 집적회로 소자의 제조 방법
KR20210117121A (ko) 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법
KR20220137522A (ko) 유기금속 부가 화합물 및 이를 이용한 집적회로 소자의 제조 방법