KR20170060003A - 기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템 - Google Patents

기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템 Download PDF

Info

Publication number
KR20170060003A
KR20170060003A KR1020177007295A KR20177007295A KR20170060003A KR 20170060003 A KR20170060003 A KR 20170060003A KR 1020177007295 A KR1020177007295 A KR 1020177007295A KR 20177007295 A KR20177007295 A KR 20177007295A KR 20170060003 A KR20170060003 A KR 20170060003A
Authority
KR
South Korea
Prior art keywords
thin film
block copolymer
resist pattern
wafer
substrate
Prior art date
Application number
KR1020177007295A
Other languages
English (en)
Inventor
마코토 무라마츠
타다토시 토미타
히사시 겐지마
겐 요우
타카히로 키타노
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20170060003A publication Critical patent/KR20170060003A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Toxicology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Textile Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Materials For Photolithography (AREA)

Abstract

본 발명의 기판 처리 방법은, 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 방법으로서, 기판 상에 레지스트막에 의해 정해진 레지스트 패턴을 형성하는 레지스트 패턴 형성 공정(S3)과, 레지스트 패턴의 표면에 당해 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 공정(S4)과, 박막 형성 후의 기판에 대하여 블록 공중 합체를 도포하는 블록 공중 합체 도포 공정(S5)과, 블록 공중 합체를 친수성 폴리머와 소수성 폴리머로 상분리시키는 폴리머 분리 공정(S6)을 가지고 있다.

Description

기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템 {SUBSTRATE PROCESSING METHOD, COMPUTER STORAGE MEDIUM AND SUBSTRATE PROCESSING SYSTEM}
(관련 출원의 상호 참조)
본원은, 2014년 9월 24일에 일본국에 출원된 특허출원 2014-194167호에 기초하여, 우선권을 주장하고, 그 내용을 여기에 원용한다.
본 발명은, 친수성(극성)을 가지는 친수성(유극성) 폴리머와 소수성을 가지는(극성을 갖지 않음) 소수성(무극성) 폴리머를 포함하는 블록 공중 합체를 이용한 기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템에 관한 것이다.
예를 들면 반도체 디바이스의 제조 공정에서는, 예를 들면 반도체 웨이퍼(이하, '웨이퍼'라고 함) 상에 레지스트액을 도포하여 레지스트막을 형성하는 레지스트 도포 처리, 당해 레지스트막에 정해진 패턴을 노광하는 노광 처리, 노광된 레지스트막을 현상하는 현상 처리 등을 순차 행하는 포토리소그래피 처리가 행해지고, 웨이퍼 상에 정해진 레지스트 패턴이 형성된다. 그리고, 이 레지스트 패턴을 마스크로서 웨이퍼 상의 피처리막의 에칭 처리가 행해지고, 이 후 레지스트막의 제거 처리 등이 행해져 피처리막에 정해진 패턴이 형성된다.
그런데, 최근 반도체 디바이스의 향상된 고집적화를 도모하기 위하여, 상술한 피처리막의 패턴의 미세화가 요구되고 있다. 이 때문에, 레지스트 패턴의 미세화가 진행되고 있으며, 예를 들면 포토리소그래피 처리에 있어서의 노광 처리의 광을 단파장화하는 것이 진행되고 있다. 그러나, 노광 광원의 단파장화에는 기술적, 코스트적인 한계가 있어, 광의 단파장화를 진행시키는 방법만으로는 예를 들면 수 나노미터 오더의 미세한 레지스트 패턴을 형성하는 것이 곤란한 상황에 있다.
따라서, 친수성과 소수성의 2 종류의 블록 사슬(폴리머)로 구성된 블록 공중 합체를 이용한 웨이퍼 처리 방법이 제안되고 있다(특허 문헌 1). 이러한 방법에서는, 먼저, 웨이퍼 상에 예를 들면 레지스트 패턴 등에 의해 가이드를 형성한다. 이 후, 웨이퍼 상에 블록 공중 합체를 도포하고, 당해 블록 공중 합체에 대하여 가열 처리를 행함으로써 친수성 폴리머와 소수성 폴리머로 상분리시킨다. 이 후, 웨이퍼에 자외선을 조사하여 폴리머의 개질 처리를 행하고, 웨이퍼 상에 유기 용제를 공급함으로써 친수성 폴리머가 선택적으로 제거된다. 이에 의해, 웨이퍼 상에 소수성 폴리머에 의한 미세한 패턴이 형성된다.
일본특허공개공보 2013-232621호
그러나 본 발명자들에 따르면, 친수성 폴리머를 제거한 후의 소수성 폴리머에 의한 패턴이 원하는 형상, 즉 가이드로서의 레지스트 패턴을 본뜬 형상으로 되어 있지 않은 경우가 있는 것이 확인되고 있다.
이 점에 대하여 본 발명자들이 예의 조사한 바, 블록 공중 합체를 친수성 폴리머와 소수성 폴리머로 상분리시키는 가열 처리에 있어서 레지스트 패턴이 열에 의해 변형되어 있는 것이 확인되었다. 이 때문에, 변형된 레지스트 패턴을 따라 블록 공중 합체의 상분리가 일어나고, 그 결과, 원하는 형상과는 상이한 형상으로 소수성 폴리머의 패턴이 형성되는 것이라고 추측된다.
이러한 레지스트 패턴의 변형은, 블록 공중 합체를 상분리시킬 시의 가열 처리가 비교적 고온에서 행해지기 때문에 레지스트 패턴이 용해되어 버리는 것이 원인이며, 이 가열 온도를 저감함으로써 개선될 것이라고 상정된다. 그러나, 블록 공중 합체의 폴리머를 확산시켜 적절히 상분리를 행하기 위해서는, 가열 온도를 낮출 수는 없다. 그 때문에, 현재 상태로서는 이 레지스트 패턴의 변형을 방지하는 것은 곤란하다.
본 발명은, 이러한 점을 감안하여 이루어진 것으로, 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용한 기판 처리에 있어서, 기판 상에 정해진 패턴을 적절히 형성하는 것을 목적으로 하고 있다.
상기의 목적을 달성하기 위하여, 본 발명은, 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 방법으로서, 기판 상에 레지스트막에 의해 정해진 레지스트 패턴을 형성하는 레지스트 패턴 형성 공정과, 상기 레지스트 패턴의 표면에 상기 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 공정과, 상기 박막 형성 후의 기판에 대하여 블록 공중 합체를 도포하는 블록 공중 합체 도포 공정과, 상기 블록 공중 합체를 상기 친수성 폴리머와 상기 소수성 폴리머로 상분리시키는 폴리머 분리 공정을 가진다.
본 발명자들은, 레지스트 패턴의 표면에 정해진 박막을 형성함으로써, 블록 공중 합체를 상분리시킬 시의 가열 온도로 레지스트 패턴을 가열했을 시의 변형을 억제할 수 있다고 하는 지견을 얻었다. 그리고 본 발명에 의하면, 레지스트 패턴의 형성 후로서 블록 공중 합체의 상분리를 행하기 전에, 레지스트 패턴의 표면에 박막을 형성하므로, 블록 공중 합체를 상분리시키는 가열 처리 시의 레지스트 패턴의 변형을 억제할 수 있다. 따라서, 블록 공중 합체를 원하는 형상으로 상분리시키고, 그에 의해, 기판 상의 정해진 패턴을 적절히 형성할 수 있다.
다른 관점에 따른 본 발명에 의하면, 상기 기판 처리 방법을 기판 처리 시스템에 의해 실행시키도록, 상기 기판 처리 시스템을 제어하는 제어부의 컴퓨터 상에서 동작하는 프로그램을 저장한 판독 가능한 컴퓨터 기억 매체가 제공된다.
또 다른 관점에 따른 본 발명은, 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 시스템으로서, 기판 상에 레지스트막을 도포하는 레지스트 도포 장치와, 노광 처리된 레지스트막을 현상하여 레지스트 패턴을 형성하는 현상 처리 장치와, 상기 레지스트 패턴의 표면에 상기 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 장치와, 상기 박막 형성 후의 기판에 대하여 상기 블록 공중 합체를 도포하는 블록 공중 합체 도포 장치와, 상기 블록 공중 합체를 상기 친수성 폴리머와 상기 소수성 폴리머로 상분리시키는 폴리머 분리 장치를 가진다.
본 발명에 의하면, 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용한 기판 처리에 있어서, 기판 상에 정해진 패턴을 적절히 형성할 수 있다.
도 1은 본 실시의 형태에 따른 기판 처리 시스템의 구성의 개략을 나타내는 평면도이다.
도 2는 도포 처리 장치의 구성의 개략을 나타내는 평면도이다.
도 3은 도포 처리 장치의 구성의 개략을 나타내는 정면도이다.
도 4는 도포 처리 장치의 구성의 개략을 나타내는 배면도이다.
도 5는 플라즈마 처리 장치의 구성의 개략을 나타내는 평면도이다.
도 6은 박막 형성 장치의 구성의 개략을 나타내는 종단면도이다.
도 7은 웨이퍼 처리의 주요 공정을 설명한 순서도이다.
도 8은 웨이퍼 상에 반사 방지막과 중성층이 형성된 모습을 나타내는 종단면의 설명도이다.
도 9는 중성층 상에 레지스트 패턴이 형성된 모습을 나타내는 평면에서 본 설명도이다.
도 10은 중성층 상에 레지스트 패턴이 형성된 모습을 나타내는 종단면의 설명도이다.
도 11은 레지스트 패턴 상에 박막이 형성된 모습을 나타내는 종단면의 설명도이다.
도 12는 박막 형성 후의 레지스트 패턴 상에 블록 공중 합체를 도포한 모습을 나타내는 종단면의 설명도이다.
도 13은 블록 공중 합체를 친수성 폴리머와 소수성 폴리머로 상분리한 모습을 나타내는 종단면의 설명도이다.
도 14는 블록 공중 합체를 친수성 폴리머와 소수성 폴리머로 상분리한 모습을 나타내는 평면의 설명도이다.
도 15는 상분리 후의 블록 공중 합체로부터 친수성 폴리머를 선택적으로 제거한 모습을 나타내는 종단면의 설명도이다.
이하, 본 발명의 실시의 형태에 대하여 설명한다. 도 1은 본 실시의 형태에 따른 기판 처리 시스템(1)의 구성의 개략을 나타내는 평면도이다.
기판 처리 시스템(1)은 기판으로서의 웨이퍼에 포토리소그래피 처리 등의 액처리를 행하는 도포 처리 장치(2)와, 웨이퍼에 플라즈마 에칭 처리 등의 플라즈마 처리를 행하는 플라즈마 처리 장치(3)를 가지고 있다.
도포 처리 장치(2)는 도 2에 나타내는 바와 같이 복수 매의 웨이퍼(W)를 수용한 카세트(C)가 반입반출되는 카세트 스테이션(10)과, 웨이퍼(W)에 정해진 처리를 실시하는 복수의 각종 처리 장치를 구비한 처리 스테이션(11)과, 처리 스테이션(11)에 인접하는 노광 장치(12)와의 사이에서 웨이퍼(W)의 전달을 행하는 인터페이스 스테이션(13)을 일체로 접속한 구성을 가지고 있다.
카세트 스테이션(10)에는 카세트 배치대(20)가 마련되어 있다. 카세트 배치대(20)에는, 기판 처리 시스템(1)의 외부에 대하여 카세트(C)를 반입반출할 시, 카세트(C)를 배치하는 카세트 배치판(21)이 복수 마련되어 있다.
카세트 스테이션(10)에는, 도 1에 나타내는 바와 같이 X 방향으로 연장되는 반송로(22) 상을 이동 가능한 웨이퍼 반송 장치(23)가 마련되어 있다. 웨이퍼 반송 장치(23)는 상하 방향 및 연직축 둘레(θ 방향)로도 이동 가능하며, 각 카세트 배치판(21) 상의 카세트(C)와 후술하는 처리 스테이션(11)의 제 3 블록(G3)의 전달 장치와의 사이에서 웨이퍼(W)를 반송할 수 있다.
처리 스테이션(11)에는, 각종 장치를 구비한 복수 예를 들면 4 개의 블록(G1, G2, G3, G4)이 마련되어 있다. 예를 들면 처리 스테이션(11)의 정면측(도 2의 X 방향부방향측)에는 제 1 블록(G1)이 마련되고, 처리 스테이션(11)의 배면측(도 2의 X 방향 정방향측)에는 제 2 블록(G2)이 마련되어 있다. 또한, 처리 스테이션(11)의 카세트 스테이션(10)측(도 1의 Y 방향 부방향측)에는 제 3 블록(G3)이 마련되고, 처리 스테이션(11)의 인터페이스 스테이션(13)측(도 2의 Y 방향 정방향측)에는 제 4 블록(G4)이 마련되어 있다.
예를 들면 제 1 블록(G1)에는, 도 3에 나타내는 바와 같이 복수의 액처리 장치, 예를 들면 웨이퍼(W)를 현상 처리하는 현상 장치(30), 웨이퍼(W) 상에 유기 용제를 공급하는 유기 용제 공급 장치(31), 웨이퍼(W) 상에 반사 방지막을 형성하는 반사 방지막 형성 장치(32), 웨이퍼(W) 상에 중성제를 도포하여 중성층을 형성하는 중성층 형성 장치(33), 웨이퍼(W) 상에 레지스트액을 도포하여 레지스트막을 형성하는 레지스트 도포 장치(34), 웨이퍼(W) 상에 블록 공중 합체를 도포하는 블록 공중 합체 도포 장치(35)가 아래로부터 차례로 적층되어 있다.
예를 들면 현상 장치(30), 유기 용제 공급 장치(31), 반사 방지막 형성 장치(32), 중성층 형성 장치(33), 레지스트 도포 장치(34), 블록 공중 합체 도포 장치(35)는 각각 수평 방향으로 3 개 나열되어 배치되어 있다. 또한, 이들 현상 장치(30), 유기 용제 공급 장치(31), 반사 방지막 형성 장치(32), 중성층 형성 장치(33), 레지스트 도포 장치(34), 블록 공중 합체 도포 장치(35)의 수 또는 배치는 임의로 선택할 수 있다.
이들 현상 장치(30), 유기 용제 공급 장치(31), 반사 방지막 형성 장치(32), 중성층 형성 장치(33), 레지스트 도포 장치(34), 블록 공중 합체 도포 장치(35)에서는, 예를 들면 웨이퍼(W) 상에 정해진 도포액을 도포하는 스핀 코팅이 행해진다. 스핀 코팅에서는, 예를 들면 도포 노즐로부터 웨이퍼(W) 상에 도포액을 토출하고 또한 웨이퍼(W)를 회전시켜, 도포액을 웨이퍼(W)의 표면에 확산시킨다.
또한, 블록 공중 합체 도포 장치(35)에서 웨이퍼(W) 상에 도포되는 블록 공중 합체는 제 1 모노머와 제 2 모노머가 직쇄 형상으로 중합된, 제 1 폴리머(제 1 모노머의 집합체)와 제 2 폴리머(제 2 모노머의 집합체)를 가지는 고분자(공중 합체)이다. 제 1 폴리머로서는 친수성(극성)을 가지는 친수성 폴리머가 이용되고, 제 2 폴리머로서는 소수성(비극성)을 가지는 소수성 폴리머가 이용된다. 본 실시의 형태에서는, 친수성 폴리머로서 예를 들면 폴리 메타크릴산 메틸(PMMA)이 이용되고, 소수성 폴리머로서는 예를 들면 폴리스티렌(PS)이 이용된다. 또한, 블록 공중 합체에 있어서의 친수성 폴리머의 분자량의 비율은 약 20% ~ 40%이며, 블록 공중 합체에 있어서의 소수성 폴리머의 분자량의 비율은 약 80% ~ 60%이다. 그리고, 블록 공중 합체는 이들 친수성 폴리머와 소수성 폴리머의 공중 합체를 용제에 의해 용액 형상으로 한 것이다.
또한, 중성층 형성 장치(33)에서 웨이퍼(W) 상에 형성되는 중성층은 친수성 폴리머와 소수성 폴리머에 대하여 중간의 친화성을 가진다. 본 실시의 형태에서는, 중성층으로서 예를 들면 폴리 메타크릴산 메틸과 폴리스티렌과의 랜덤 공중 합체 또는 교호 공중 합체가 이용된다. 이하에서 '중성'이라고 하는 경우는, 이와 같이 친수성 폴리머와 소수성 폴리머에 대하여 중간의 친화성을 가지는 것을 의미한다.
예를 들면 제 2 블록(G2)에는, 도 4에 나타내는 바와 같이 웨이퍼(W)의 열처리를 행하는 열처리 장치(40), 웨이퍼(W)를 소수화 처리하는 애드히젼 장치(41), 웨이퍼(W)의 외주부를 노광하는 주변 노광 장치(42), 웨이퍼(W)에 대하여 자외선을 조사하는 자외선 조사 장치(43)가 상하 방향과 수평 방향으로 나열되어 마련되어 있다. 열처리 장치(40)는 웨이퍼(W)를 배치하여 가열하는 열판과, 웨이퍼(W)를 배치하여 냉각하는 냉각판을 가지고, 가열 처리와 냉각 처리의 양방을 행할 수 있다. 또한, 복수의 열처리 장치(40) 중 일부의 열처리 장치(40)는, 블록 공중 합체 도포 장치(35)에서 웨이퍼(W) 상에 도포된 블록 공중 합체를 친수성 폴리머와 소수성 폴리머로 상분리시키는 폴리머 분리 장치로서 기능한다. 또한, 열처리 장치(40), 애드히젼 장치(41), 주변 노광 장치(42)의 수 또는 배치는 임의로 선택할 수 있다.
예를 들면 제 3 블록(G3)에는, 복수의 전달 장치(50, 51, 52, 53, 54, 55, 56)가 아래로부터 차례로 마련되어 있다. 또한 제 4 블록(G4)에는, 복수의 전달 장치(60, 61, 62)가 아래로부터 차례로 마련되어 있다.
도 2에 나타내는 바와 같이 제 1 블록(G1) ~ 제 4 블록(G4)으로 둘러싸인 영역에는 웨이퍼 반송 영역(D)이 형성되어 있다. 웨이퍼 반송 영역(D)에는, 예를 들면 Y 방향, X 방향, θ 방향 및 상하 방향으로 이동 가능한 반송 암을 가지는 웨이퍼 반송 장치(70)가 복수 배치되어 있다. 웨이퍼 반송 장치(70)는 웨이퍼 반송 영역(D) 내를 이동하고, 주위의 제 1 블록(G1), 제 2 블록(G2), 제 3 블록(G3) 및 제 4 블록(G4) 내의 정해진 장치로 웨이퍼(W)를 반송할 수 있다.
또한, 웨이퍼 반송 영역(D)에는 제 3 블록(G3)과 제 4 블록(G4)의 사이에서 직선적으로 웨이퍼(W)를 반송하는 셔틀 반송 장치(80)가 마련되어 있다.
셔틀 반송 장치(80)는 예를 들면 Y 방향으로 직선적으로 이동 가능하게 되어 있다. 셔틀 반송 장치(80)는 웨이퍼(W)를 지지한 상태로 Y 방향으로 이동하고, 제 3 블록(G3)의 전달 장치(52)와 제 4 블록(G4)의 전달 장치(62)의 사이에서 웨이퍼(W)를 반송할 수 있다.
도 2에 나타내는 바와 같이 제 3 블록(G3)의 X 방향 정방향측의 옆에는, 웨이퍼 반송 장치(90)가 마련되어 있다. 웨이퍼 반송 장치(90)는, 예를 들면 X 방향, θ 방향 및 상하 방향으로 이동 가능한 반송 암을 가지고 있다. 웨이퍼 반송 장치(90)는 웨이퍼(W)를 지지한 상태로 상하로 이동하고, 제 3 블록(G3) 내의 각 전달 장치로 웨이퍼(W)를 반송할 수 있다.
인터페이스 스테이션(13)에는 웨이퍼 반송 장치(91)와 전달 장치(92)가 마련되어 있다. 웨이퍼 반송 장치(91)는 예를 들면 Y 방향, θ 방향 및 상하 방향으로 이동 가능한 반송 암을 가지고 있다. 웨이퍼 반송 장치(91)는 예를 들면 반송 암에 웨이퍼(W)를 지지하여, 제 4 블록(G4) 내의 각 전달 장치, 전달 장치(92) 및 노광 장치(12)와의 사이에서 웨이퍼(W)를 반송할 수 있다.
플라즈마 처리 장치(3)는 도 5에 나타내는 바와 같이 플라즈마 처리 장치(3)에 대한 웨이퍼(W)의 반입반출을 행하는 카세트 스테이션(100), 웨이퍼(W)의 반송을 행하는 공통 반송부(101), 웨이퍼(W)에 대하여 예를 들면 플라즈마 에칭 처리를 행하여 친수성 폴리머 또는 소수성 폴리머 중 어느 하나를 선택적으로 제거하는 폴리머 제거 장치로서의 에칭 장치(102, 103), 웨이퍼(W)에 대하여 플라즈마 처리를 실시하여 레지스트 패턴 상에 정해진 박막을 형성하는 박막 형성 장치(104, 105)를 가지고 있다.
카세트 스테이션(100)은 웨이퍼(W)를 반송하는 웨이퍼 반송 기구(110)가 내부에 마련된 반송실(111)을 가지고 있다. 웨이퍼 반송 기구(110)는 웨이퍼(W)를 대략 수평으로 유지하는 2 개의 반송 암(110a, 110b)을 가지고 있고, 이들 반송 암(110a, 110b) 중 어느 일방에 의해 웨이퍼(W)를 유지하면서 반송하는 구성으로 되어 있다. 반송실(111)의 측방에는, 웨이퍼(W)를 복수 매 나열하여 수용 가능한 카세트(C)가 배치되는 카세트 배치대(112)가 구비되어 있다. 도시의 예에서는, 카세트 배치대(112)에는 카세트(C)를 복수, 예를 들면 3 개 배치할 수 있도록 되어 있다.
반송실(111)과 공통 반송부(101)는 진공 배기 가능한 2 개의 로드록 장치(113a, 113b)를 개재하여 서로 연결되어 있다.
공통 반송부(101)는, 예를 들면 상방에서 봤을 때 대략 다각 형상(도시의 예에서는 육각 형상)을 이루도록 형성된 밀폐 가능한 구조의 반송실 챔버(114)를 가지고 있다. 반송실 챔버(114) 내에는 웨이퍼(W)를 반송하는 웨이퍼 반송 기구(115)가 마련되어 있다. 웨이퍼 반송 기구(115)는, 웨이퍼(W)를 대략 수평으로 유지하는 2 개의 반송 암(115a, 115b)을 가지고 있고, 이들 반송 암(115a, 115b) 중 어느 일방에 의해 웨이퍼(W)를 유지하면서 반송하는 구성으로 되어 있다.
반송실 챔버(114)의 외측에는 에칭 장치(102, 103), 박막 형성 장치(104, 105), 로드록 장치(113b, 113a)가 반송실 챔버(114)의 주위를 둘러싸도록 배치되어 있다. 에칭 장치(102, 103), 박막 형성 장치(104, 105), 로드록 장치(113b, 113a)는, 예를 들면 상방에서 봤을 때 시계 회전 방향에서 이 순으로 나열되도록, 또한 반송실 챔버(114)의 6 개의 측면부에 대하여 각각 대향하도록 하여 배치되어 있다.
이어서 박막 형성 장치(104)에 대하여 설명한다. 박막 형성 장치(104)는 평행 평판형의 플라즈마 처리 장치이며, 웨이퍼(W)를 배치하는 배치대(200)가 마련된 대략 원통 형상의 처리 용기(201)를 가지고 있다. 처리 용기(201)는 접지선(202)에 의해 전기적으로 접속되어 접지되어 있다. 또한, 처리 용기(201)의 내벽은 표면에 내플라즈마성의 재료로 이루어지는 용사 피막이 형성된 라이너(도시하지 않음)에 의해 덮여 있다.
배치대(200)는 대략 원반 형상의 정전 척(203)과 대략 원환(圓環) 형상의 포커스 링(204)을 구비하고 있다. 정전 척(203)은 대략 원판 형상의 부재이며, 예를 들면 한 쌍의 세라믹의 사이에 정전 척용의 전극을 사이에 두고 형성되어 있다.
정전 척(203)의 하면에는 하부 전극으로서의 서셉터(210)가 마련되어 있다. 서셉터(210)는, 예를 들면 알루미늄 등의 금속에 의해 대략 원반 형상으로 형성되어 있다. 처리 용기(201)의 저부에는 절연판(211)을 개재하여 지지대(212)가 마련되고, 서셉터(210)는 이 지지대(212)의 상면에 지지되어 있다. 정전 척(203)의 내부에는 전극(도시하지 않음)이 마련되어 있고, 당해 전극에 직류 전압을 인가함으로써 발생하는 정전기력으로 웨이퍼(W)를 흡착 유지할 수 있도록 구성되어 있다.
플라즈마 처리의 균일성을 향상시키기 위한 포커스 링(204)은, 예를 들면 실리콘으로 이루어지는 도전성의 실리콘에 의해 형성되어 있고, 서셉터(210)의 상면으로서 정전 척(203)의 외주부에 배치되어 있다. 서셉터(210) 및 지지대(212)는 예를 들면 석영으로 이루어지는 원통 부재(213)에 의해 그 외측면이 덮여 있다.
지지대(212)의 내부에는 냉매가 흐르는 냉매 유로(도시하지 않음)가 마련되어 있고, 냉매의 온도를 제어함으로써 정전 척(203)으로 유지되는 웨이퍼(W)의 온도가 제어된다.
서셉터(210)에는, 당해 서셉터(210)에 고주파 전력을 공급하여 플라즈마를 생성하기 위한 제 1 고주파 전원(230)이 제 1 정합기(231)를 개재하여 전기적으로 접속되어 있다. 제 1 고주파 전원(230)은 예를 들면 27 ~ 100 MHz의 주파수, 본 실시의 형태에서는 예를 들면 100 MHz의 고주파 전력을 출력하도록 구성되어 있다. 또한, 제 1 고주파 전원(230)의 내부 임피던스와 부하 임피던스는 제 1 정합기(231)에 의해 매칭된다.
또한 서셉터(210)에는, 당해 서셉터(210)로 고주파 전력을 공급하여 웨이퍼(W)에 바이어스를 인가함으로써 웨이퍼(W)로 이온을 인입하기 위한 제 2 고주파 전원(240)이 제 2 정합기(241)를 개재하여 전기적으로 접속되어 있다. 제 2 고주파 전원(240)은, 예를 들면 400 kHz ~ 13.56 MHz의 주파수, 본 실시의 형태에서는 예를 들면 3.2 MHz의 고주파 전력을 출력하도록 구성되어 있다. 제 2 정합기(241)는, 제 1 정합기(231)와 마찬가지로, 제 2 고주파 전원(240)의 내부 임피던스와 부하 임피던스를 매칭시키는 것이다.
이들 제 1 고주파 전원(230), 제 1 정합기(231), 제 2 고주파 전원(240), 제 2 정합기(241)는 후술하는 제어부(300)에 접속되어 있고, 이들 동작은 제어부(300)에 의해 제어된다.
하부 전극인 서셉터(210)의 상방에는 상부 전극(242)이 서셉터(210)에 대향하여 평행하게 마련되어 있다. 상부 전극(242)은, 도전성의 유지 부재(243)를 개재하여 처리 용기(201)의 상부에 지지되어 있고, 처리 용기(201)와 마찬가지로 접지 전위로 되어 있다.
상부 전극(242)은 정전 척(203)에 유지된 웨이퍼(W)와 대향면을 형성하는 전극판(250)과, 당해 전극판(250)을 상방으로부터 지지하는 전극 지지체(251)에 의해 구성되어 있다. 전극판(250)에는, 처리 용기(201)의 내부로 처리 가스를 공급하는 복수의 가스 공급구(252)가 당해 전극판(250)을 관통하여 형성되어 있다. 전극판(250)은 실리콘을 함유하고 또한 줄열이 적은 저저항의 도전체 또는 반도체에 의해 구성되어 있다. 또한, 전극 지지체(251)는 도전체에 의해 구성되고, 본 실시의 형태에서는 예를 들면 알루미늄이 이용된다.
전극 지지체(251) 내부의 중앙부에는 대략 원반 형상으로 형성된 가스 확산실(260)이 마련되어 있다. 또한, 전극 지지체(251)의 하부에는 가스 확산실(260)로부터 하방으로 연장되는 가스홀(261)이 복수 형성되고, 가스 공급구(252)는 당해 가스홀(261)을 개재하여 가스 확산실(260)에 접속되어 있다.
가스 확산실(260)에는 가스 공급관(262)이 접속되어 있다. 가스 공급관(262)에는, 도 1에 나타내는 바와 같이 처리 가스 공급원(263)이 접속되어 있고, 처리 가스 공급원(263)으로부터 공급된 처리 가스는 가스 공급관(262)을 거쳐 가스 확산실(260)로 공급된다. 가스 확산실(260)로 공급된 처리 가스는 가스홀(261)과 가스 공급구(252)를 통하여 처리 용기(201) 내로 도입된다.
처리 가스 공급원(263)은 플라즈마 처리용의 처리 가스를 공급하는 가스 공급부(263a)를 구비하고 있다. 또한, 처리 가스 공급원(263)은 가스 공급부(263a)와 가스 확산실(260)의 사이에 마련된 밸브(264)와, 가스 확산실(260)로 공급되는 가스의 유량을 제어하는 유량 조정 기구(265)를 가지고 있다.
처리 용기(201)의 저면에는 배기구(270)가 마련되어 있다. 배기구(270)의 하방에는 배기실(271)이 형성되어 있고, 당해 배기실(271)에는 배기관(272)을 개재하여 배기 장치(273)가 접속되어 있다. 따라서, 배기 장치(273)를 구동함으로써, 배기구(270)를 개재하여 처리 용기(201) 내의 분위기를 배기하고, 처리 용기 내를 정해진 진공도까지 감압할 수 있다.
또한, 박막 형성 장치(105)는 박막 형성 장치(104)와 동일한 구성이며, 에칭 장치(102, 103)에 대해서도 이용하는 처리 가스가 상이한 점 이외는 박막 형성 장치(104)의 구성과 동일하므로 설명을 생략한다.
이상의 기판 처리 시스템(1)에는, 도 1에 나타내는 바와 같이 제어부(300)가 마련되어 있다. 제어부(300)는 예를 들면 컴퓨터이며, 프로그램 저장부(도시하지 않음)를 가지고 있다. 프로그램 저장부에는 기판 처리 시스템(1)에 있어서의 웨이퍼(W)의 처리를 제어하는 프로그램이 저장되어 있다. 또한 프로그램 저장부에는, 상술한 각종 처리 장치 또는 반송 장치 등의 구동계의 동작을 제어하여, 기판 처리 시스템(1)에 있어서의 후술하는 기판 처리를 실현시키기 위한 프로그램도 저장되어 있다. 또한 상기 프로그램은, 예를 들면 컴퓨터 판독 가능한 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그넷 옵티컬 디스크(MO), 메모리 카드 등의 컴퓨터에 판독 가능한 기억 매체에 기록되어 있던 것으로서, 그 기억 매체로부터 제어부(300)에 인스톨된 것이어도 된다.
이어서, 이상과 같이 구성된 기판 처리 시스템(1)을 이용하여 행해지는 웨이퍼 처리에 대하여 설명한다. 도 7은 이러한 웨이퍼 처리의 주요 공정의 예를 나타내는 순서도이다.
먼저, 복수의 웨이퍼(W)를 수납한 카세트(C)가 도포 처리 장치(2)의 카세트 스테이션(10)으로 반입되고, 웨이퍼 반송 장치(23)에 의해 카세트(C) 내의 각 웨이퍼(W)가 순차적으로 처리 스테이션(11)의 전달 장치(53)로 반송된다.
이어서 웨이퍼(W)는 열처리 장치(40)로 반송되어 온도 조절된 후, 반사 방지막 형성 장치(32)로 반송되고, 도 8에 나타내는 바와 같이 웨이퍼(W) 상에 반사 방지막(400)이 형성된다(도 7의 공정(S1)). 이 후 웨이퍼(W)는, 열처리 장치(40)로 반송되고, 가열되어 온도 조절된다.
이어서 웨이퍼(W)는 중성층 형성 장치(33)로 반송되고, 도 8에 나타내는 바와 같이 웨이퍼(W)의 반사 방지막(400) 상에 중성제가 도포되어, 중성층(401)이 형성된다(도 7의 공정(S2)). 이 후 웨이퍼(W)는, 열처리 장치(40)로 반송되고, 가열되어 온도 조절된다.
이어서 웨이퍼(W)는 애드히젼 장치(41)로 반송되고, 애드히젼 처리된다. 이 후 웨이퍼(W)는 레지스트 도포 장치(34)로 반송되고, 웨이퍼(W)의 중성층(401) 상에 레지스트액이 도포되어, 레지스트막이 형성된다. 이 후 웨이퍼(W)는 열처리 장치(40)로 반송되어, 프리베이크 처리된다. 이 후 웨이퍼(W)는 주변 노광 장치(42)로 반송되고, 주변 노광 처리된다.
이어서 웨이퍼(W)는 인터페이스 스테이션(13)의 웨이퍼 반송 장치(91)에 의해 노광 장치(12)로 반송되고, 노광 처리된다. 이 후 웨이퍼(W)는 열처리 장치(40)로 반송되고, 노광 후 베이크 처리된다. 이 후 웨이퍼(W)는 현상 장치(30)로 반송되고, 현상 처리된다. 현상 종료 후, 웨이퍼(W)는 열처리 장치(40)로 반송되고, 포스트베이크 처리된다. 이렇게 하여, 도 9, 도 10에 나타내는 바와 같이 웨이퍼(W)의 중성층(401) 상에 레지스트막에 의한 정해진 레지스트 패턴(402)이 형성된다(도 7의 공정(S3)). 본 실시의 형태에 있어서의 레지스트 패턴(402)은 평면에서 봤을 때 원형 형상의 홀부(402a)가 격자 형상으로 복수 배열된 패턴이다.
이 후 웨이퍼(W)는 웨이퍼 반송 장치(70)에 의해 전달 장치(50)로 반송되고, 이 후 카세트 스테이션(10)의 웨이퍼 반송 장치(23)에 의해 정해진 카세트 배치판(21)의 카세트(C)로 반송된다. 웨이퍼(W)를 수납한 카세트(C)는 도포 처리 장치(2)로부터 반출되고, 이어서 플라즈마 처리 장치(3)로 반입된다.
플라즈마 처리 장치(3)에서는, 먼저, 웨이퍼 반송 기구(110)에 의해, 카세트 배치대(112) 상의 카세트(C)로부터 1 매의 웨이퍼(W)가 취출되고, 로드록 장치(113a)로 반송된다. 그리고, 웨이퍼 반송 기구(115)에 의해, 웨이퍼(W)가 로드록 장치(113a)로부터 반출되고, 반송실 챔버(114)를 개재하여 박막 형성 장치(104)로 반송된다.
박막 형성 장치(104)에서는, 먼저 정전 척(203)에 웨이퍼(W)가 배치되어 유지된다. 이어서, 처리 가스 공급원(263)으로부터 처리 용기(201) 내로 처리 가스로서 플라즈마 생성용의 예를 들면 Ar 가스가 공급된다. 이 후, 제 1 고주파 전원(230)과 제 2 고주파 전원(240)에 의해, 하부 전극인 서셉터(210)로 고주파 전력이 연속적으로 인가되고, 상부 전극(242)과 정전 척(203)의 사이에서 고주파 전계가 형성된다. 이에 의해, 처리 용기(201) 내에 플라즈마가 발생하고, 당해 플라즈마에 의해 상부 전극(242)의 전극판(250)이 스퍼터된다. 스퍼터된 전극판의 재료는, 웨이퍼(W)의 표면에 공급되고, 웨이퍼(W) 상의 레지스트 패턴(402)이 플라즈마에 의해 개질 처리되고, 또한 도 11에 나타내는 바와 같이, 레지스트 패턴(402) 상에 전극판(250)의 재료로부터 유래된 박막(403), 즉 실리콘을 함유한 박막(403)이 형성된다(도 7의 공정(S4)). 박막(403)의 재질은, 예를 들면 SiO, SiO2, SiOC 등이다. 또한, 바람직한 박막(403)의 두께로서는 대략 5 nm 이하이며, 보다 바람직하게는 대략 2 ~ 3 nm이다. 또한 본 발명자들에 따르면, 박막 형성 장치(104)에서 레지스트 패턴(402) 상에 박막(403)을 형성할 때, 플라즈마 생성용의 가스로서의 불활성 가스(본 실시의 형태에서는 Ar 가스)와 함께 H2 가스를 공급함으로써, 성막을 효율적으로 행할 수 있는 것이 확인되어 있다. 이는, 플라즈마 생성용의 불활성 가스와 함께 H2 가스를 첨가함으로써 전극판(250)의 스퍼터가 촉진되는 것이라고 상정된다. 따라서, 박막 형성 장치(104)에서의 플라즈마 처리에 있어서는, 불활성 가스와 함께 H2 가스를 공급하는 것이 바람직하다.
이 후 웨이퍼(W)는 웨이퍼 반송 기구(115)에 의해 다시 반송실 챔버(114), 로드록 장치(113b)를 거쳐 웨이퍼 반송 기구(110)로 전달되고, 카세트(C)에 수납된다. 이 후, 웨이퍼(W)를 수납한 카세트(C)가 플라즈마 처리 장치(3)로부터 반출되고, 도포 처리 장치(2)로 다시 반입된다.
도포 처리 장치(2)로 반입된 웨이퍼(W)는 블록 공중 합체 도포 장치(35)로 반송된다. 블록 공중 합체 도포 장치(35)에서는, 도 12에 나타내는 바와 같이 박막(403)이 형성된 레지스트 패턴(402) 상에 블록 공중 합체(404)가 도포된다(도 7의 공정(S5)).
이어서 웨이퍼(W)는, 폴리머 분리 장치로서의 열처리 장치(40)로 반송된다. 열처리 장치(40)에서는, 웨이퍼(W)에 정해진 온도로서 대략 200 ~ 300℃, 본 실시의 형태에서는 예를 들면 260℃로 열처리가 행해진다. 그러면, 도 13 및 도 14에 나타내는 바와 같이 웨이퍼(W) 상의 블록 공중 합체(404)가 친수성 폴리머(405)와 소수성 폴리머(406)로 상분리된다(도 7의 공정(S6)).
여기서, 상술한 바와 같이, 블록 공중 합체(404)에서 친수성 폴리머(405)의 분자량의 비율은 20% ~ 40%이며, 소수성 폴리머(406)의 분자량의 비율은 80% ~ 60%이다. 그러면 공정(S6)에서, 도 13 및 도 14에 나타내는 바와 같이, 레지스트 패턴(402)의 홀부(402a)의 중심에 원주(圓柱) 형상의 친수성 폴리머(405)가 상분리된다. 소수성 폴리머(406)는, 친수성 폴리머(405) 외주를 둘러싸도록, 당해 친수성 폴리머(405)와 동심원의 원통 형상으로 상분리된다.
또한, 상분리를 행하기 전에 레지스트 패턴(402)의 표면에 실리콘 함유의 박막(403)을 형성함으로써, 상분리에 수반하는 열처리 시에 레지스트 패턴(402)이 용해되어도 당해 레지스트 패턴(402)이 변형되는 것을 억제할 수 있다. 따라서, 레지스트 패턴(402)을 원하는 형상으로 유지함으로써, 친수성 폴리머(405)와 소수성 폴리머(406)를 원하는 형상으로 상분리시킬 수 있다.
이어서 웨이퍼(W)는 자외선 조사 장치(43)로 반송된다. 자외선 조사 장치(43)에서는, 웨이퍼(W)에 자외선을 조사함으로써 친수성 폴리머(405)인 폴리 메타크릴산 메틸의 결합 사슬을 절단하고, 또한 소수성 폴리머(406)인 폴리스티렌을 가교 반응시킨다(도 7의 공정(S7)).
이어서 웨이퍼(W)는 웨이퍼 반송 장치(70)에 의해 유기 용제 공급 장치(31)로 반송된다. 유기 용제 공급 장치(31)에서는, 웨이퍼(W)에 예를 들면 IPA(이소프로필 알코올) 등의 극성을 가지는 유기 용제가 공급되고, 자외선 조사로 결합 사슬이 절단 된 친수성 폴리머(405)가 선택적으로 용해 제거된다. 이에 의해, 웨이퍼(W)에는, 예를 들면 도 15에 나타내는 바와 같이 소수성 폴리머(406)의 정해진 패턴이 형성된다(도 7의 공정(S8)).
이 후 웨이퍼(W)는 웨이퍼 반송 장치(70)에 의해 전달 장치(50)로 반송되고, 이 후 카세트 스테이션(10)의 웨이퍼 반송 장치(23)에 의해 정해진 카세트 배치판(21)의 카세트(C)로 반송된다.
이 후, 카세트(C)는 기판 처리 시스템(1)의 외부에 마련된 플라즈마 처리 장치(3)로 반송되고, 에칭 장치(102)에서 레지스트 패턴(402), 소수성 폴리머(406)를 마스크로서 웨이퍼(W)의 에칭 처리가 행해진다. 이에 의해, 웨이퍼(W)에 정해진 패턴이 전사된다(도 7의 공정(S9)).
이 후, 소수성 폴리머(406) 및 레지스트 패턴(402)이 제거되어, 웨이퍼(W)에 정해진 패턴이 형성된다.
이 후 웨이퍼(W)는 카세트(C)에 수납되고, 웨이퍼(W)를 수납한 카세트(C)가 플라즈마 처리 장치(3)로부터 반출되어 일련의 웨이퍼 처리가 종료된다.
이상의 실시의 형태에 의하면, 공정(S3)에서 레지스트 패턴(402)을 형성한 후로서 블록 공중 합체(404)의 상분리를 행하기(공정(S6)) 전에, 레지스트 패턴(402)의 표면에 박막(403)을 형성하므로, 블록 공중 합체(404)를 상분리시키는 열처리 시에 레지스트 패턴(402)이 용해되어도 당해 박막(403)에 의해 레지스트 패턴(402)의 변형을 억제할 수 있다. 따라서, 블록 공중 합체(404)를 원하는 형상의 레지스트 패턴(402)을 따른 상태로 상분리시키고, 그에 의해, 웨이퍼(W) 상에 정해진 패턴을 적절히 형성할 수 있다.
또한 도 11에서는, 레지스트 패턴(402)의 표면의 전체 면에 박막(403)을 형성한 상태를 도시했지만, 본 발명자들에 따르면, 공정(S6)의 상분리 시에 레지스트 패턴(402)의 변형을 억제하기 위해서는, 반드시 레지스트 패턴(402)의 전체 면을 박막(403)으로 덮을 필요는 없으며, 예를 들면 곳곳에 홀이 형성된 펀칭 메탈 형상의 막, 또는 망 형상, 혹은 벌집 형상의 막이어도, 레지스트 패턴(402)의 표면에 형성함으로써, 당해 레지스트 패턴(402)의 변형을 억제할 수 있는 것이 확인되어 있다.
또한 본 발명자들에 따르면, 박막(403)의 재질로서는, 실리콘 함유의 막에 한정되지 않고, 예를 들면 W(텅스텐), Ti(티탄), TiN(질화 티탄) 등의 금속막을 형성해도, 레지스트 패턴(402)의 변형을 억제할 수 있는 것이 확인되어 있다. 또한 이러한 금속막은, 예를 들면 CVD(Chemical Vapor Deposition) 처리를 행하는 CVD 처리 장치 또는 ALD(Atomic Layer Deposition) 처리를 행하는 ALD 처리 장치에 의해 형성되어도 된다.
또한 이상의 실시의 형태에서는, 실리콘 함유의 박막(403)을 플라즈마 처리에 의해 형성했지만, 실리콘 함유의 박막(403)의 경우도 ALD 처리에 의해 형성해도 되며, 블록 공중 합체(404)를 상분리시켰을 시, 소수성 폴리머(406)로 형성되는 패턴의 치수에 영향을 주지 않는 정도의 두께, 구체적으로 약 5 nm 이하, 바람직하게는 2 nm ~ 3 nm 정도의 박막(403)을 형성할 수 있으면, 그 형성 방법에 대해서는 임의로 선택할 수 있다.
또한 이상의 실시의 형태에서는, 박막(403)을 형성함으로써 공정(S6)에 있어서의 레지스트 패턴(402)의 변형을 방지했지만, 레지스트 패턴(402)의 변형을 방지한다고 하는 관점으로부터는 박막(403)의 형성 이외에, 예를 들면 공지의 기술인 레지스트 패턴(402)의 실릴화를 행하거나 레지스트 패턴(402)에 금속 함유의 처리액을 공급하여 금속을 침윤시킴으로써 레지스트 패턴(402)의 경화를 행해도 된다.
또한 이상의 실시의 형태에서는, 홀부(402a)를 가지는 레지스트 패턴(402)을 이용하여 소수성 폴리머(406)에 의해 원통 형상의 패턴을 형성하는 경우를 예로 설명했지만, 레지스트 패턴(402)의 형상은 본 실시의 형태에 한정되지 않고, 예를 들면 평면에서 봤을 때 직선 형상의 라인과 직선 형상의 스페이스부를 가지는, 이른바 라인 앤드 스페이스의 레지스트 패턴(402)을 이용해도 된다. 이러한 경우, 블록 공중 합체(404)로서는, 친수성 폴리머(405)의 분자량의 비율이 40% ~ 60%, 소수성 폴리머(406)의 분자량의 비율이 60% ~ 40%인 것이 이용된다. 이에 의해, 레지스트 패턴의 스페이스부에, 홀수의 친수성 폴리머(405)와 홀수의 소수성 폴리머(406)가 교호로 배열된, 소위 라멜라 구조의 패턴을 형성할 수 있다.
또한 이상의 실시의 형태에서는, 레지스트 패턴(402)의 하지막으로서, 반사 방지막(400) 및 중성층(401)을 형성하고 있었지만, 이들에 대해서도 반드시 필요하지는 않다. 특히, 중성층(401)은 블록 공중 합체를 상분리시켰을 시 웨이퍼(W)의 법선 방향을 따라 친수성 폴리머(405)와 소수성 폴리머(406)를 배열시키기 위하여 이용되기 때문에, 중성층(401)을 마련하지 않는 경우라도 레지스트 패턴(402)의 하지가 친수성 폴리머와 소수성 폴리머에 대하여 중성이거나 혹은 중성이 아니라도 친수성 폴리머(405)와 소수성 폴리머(406)가 적정하게 상분리되는 것이면, 중성층(401)은 반드시 마련할 필요가 없다. 이러한 경우, 레지스트 패턴(402)의 하지, 즉 블록 공중 합체(404)가 도포되는 면은, 예를 들면 반사 방지막(400)이어도 된다.
이상, 첨부 도면을 참조하여 본 발명의 적합한 실시의 형태에 대하여 설명했지만, 본 발명은 이러한 예에 한정되지 않는다. 당업자라면, 청구의 범위에 기재된 사상의 범주 내에서, 각종의 변경예 또는 수정예에 상도할 수 있는 것은 명백하며, 그들에 대해서도 당연히 본 발명의 기술적 범위에 속하는 것으로 이해된다. 본 발명은 이 예에 한정하지 않고 각종 태양을 취할 수 있는 것이다. 본 발명은, 기판이 웨이퍼 이외의 FPD(플랫 패널 디스플레이), 포토마스크용의 마스크 레티클 등의 다른 기판인 경우에도 적용할 수 있다.
본 발명은, 예를 들면 친수성을 가지는 친수성 폴리머와 소수성을 가지는 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여, 기판을 처리할 시 유용하다.
1 : 기판 처리 시스템
2 : 플라즈마 처리 장치
3 : 도포 처리 장치
30 : 현상 장치
31 : 유기 용제 공급 장치
32 : 반사 방지막 형성 장치
33 : 중성층 형성 장치
34 : 레지스트 도포 장치
35 : 블록 공중 합체 도포 장치
40 : 열처리 장치
104, 105 : 박막 형성 장치
300 : 제어부
400 : 반사 방지막
401 : 중성층
402 : 레지스트 패턴
403 : 박막
404 : 블록 공중 합체
405 : 친수성 폴리머
406 : 소수성 폴리머
W : 웨이퍼

Claims (13)

  1. 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 방법으로서,
    기판 상에 레지스트막에 의해 정해진 레지스트 패턴을 형성하는 레지스트 패턴 형성 공정과,
    상기 레지스트 패턴의 표면에 상기 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 공정과,
    상기 박막 형성 후의 기판에 대하여 블록 공중 합체를 도포하는 블록 공중 합체 도포 공정과,
    상기 블록 공중 합체를 상기 친수성 폴리머와 상기 소수성 폴리머로 상분리시키는 폴리머 분리 공정을 가진다.
  2. 제 1 항에 기재된 기판 처리 방법에 있어서,
    상기 박막은 실리콘 함유막이다.
  3. 제 2 항에 기재된 기판 처리 방법에 있어서,
    상기 실리콘 함유막은 플라즈마 처리에 의해 형성되고,
    상기 플라즈마 처리는 실리콘을 함유하는 전극에 고주파 전력을 인가하여 행해진다.
  4. 제 2 항에 기재된 기판 처리 방법에 있어서,
    상기 실리콘 함유막은 SiO, SiO2 또는 SiOC 중 어느 하나이다.
  5. 제 1 항 기재된 기판 처리 방법에 있어서,
    상기 박막은 W, Ti 또는 TiN 중 어느 하나이다.
  6. 제 1 항에 기재된 기판 처리 방법에 있어서,
    상기 박막은 CVD 처리 또는 ALD 처리에 의해 형성된다.
  7. 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 방법을 기판 처리 시스템에 의해 실행시키도록, 상기 기판 처리 시스템을 제어하는 제어부의 컴퓨터 상에서 동작하는 프로그램을 저장한 판독 가능한 컴퓨터 기억 매체로서,
    상기 기판 처리 방법은,
    기판 상에 레지스트막에 의해 정해진 레지스트 패턴을 형성하는 레지스트 패턴 형성 공정과,
    상기 레지스트 패턴의 표면에 상기 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 공정과,
    상기 박막 형성 후의 기판에 대하여 블록 공중 합체를 도포하는 블록 공중 합체 도포 공정과,
    상기 블록 공중 합체를 상기 친수성 폴리머와 상기 소수성 폴리머로 상분리시키는 폴리머 분리 공정을 가진다.
  8. 친수성 폴리머와 소수성 폴리머를 포함하는 블록 공중 합체를 이용하여 기판을 처리하는 기판 처리 시스템으로서,
    기판 상에 레지스트막을 도포하는 레지스트 도포 장치와,
    노광 처리된 레지스트막을 현상하여 레지스트 패턴을 형성하는 현상 처리 장치와,
    상기 레지스트 패턴의 표면에 상기 레지스트 패턴의 변형을 억제하기 위한 박막을 형성하는 박막 형성 장치와,
    상기 박막 형성 후의 기판에 대하여 상기 블록 공중 합체를 도포하는 블록 공중 합체 도포 장치와,
    상기 블록 공중 합체를 상기 친수성 폴리머와 상기 소수성 폴리머로 상분리시키는 폴리머 분리 장치를 가진다.
  9. 제 8 항에 기재된 기판 처리 시스템에 있어서,
    상기 박막은 실리콘 함유막이다.
  10. 제 9 항에 기재된 기판 처리 시스템에 있어서,
    상기 박막 형성 장치는 플라즈마 처리 장치이며,
    상기 플라즈마 처리 장치에서는 실리콘을 함유하는 전극에 고주파 전력을 인가하여 플라즈마 처리가 행해진다.
  11. 제 9 항에 기재된 기판 처리 시스템에 있어서,
    상기 실리콘 함유막은 SiO, SiO2 또는 SiOC 중 어느 하나이다.
  12. 제 8 항에 기재된 기판 처리 시스템에 있어서,
    상기 박막은 W, Ti 또는 TiN 중 어느 하나이다.
  13. 제 12 항에 기재된 기판 처리 시스템에 있어서,
    상기 박막 형성 장치는 CVD 처리 장치 또는 ALD 처리 장치이다.
KR1020177007295A 2014-09-24 2015-09-15 기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템 KR20170060003A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2014194167A JP6235974B2 (ja) 2014-09-24 2014-09-24 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
JPJP-P-2014-194167 2014-09-24
PCT/JP2015/076101 WO2016047493A1 (ja) 2014-09-24 2015-09-15 基板処理方法、コンピュータ記憶媒体及び基板処理システム

Publications (1)

Publication Number Publication Date
KR20170060003A true KR20170060003A (ko) 2017-05-31

Family

ID=55581020

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177007295A KR20170060003A (ko) 2014-09-24 2015-09-15 기판 처리 방법, 컴퓨터 기억 매체 및 기판 처리 시스템

Country Status (5)

Country Link
US (2) US10418242B2 (ko)
JP (1) JP6235974B2 (ko)
KR (1) KR20170060003A (ko)
TW (1) TWI612559B (ko)
WO (1) WO2016047493A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9964863B1 (en) * 2016-12-20 2018-05-08 Applied Materials, Inc. Post exposure processing apparatus
JP2021118347A (ja) * 2020-01-29 2021-08-10 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム
US20230100863A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Water vapor plasma to enhance surface hydrophilicity

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63316846A (ja) * 1987-06-19 1988-12-26 Sony Corp レジストパタ−ンの形成方法
JPH1165119A (ja) * 1997-08-22 1999-03-05 Ube Ind Ltd ポジ型感光性ポリアミド酸組成物およびパタ−ン形成方法
JP2002064054A (ja) * 2000-05-18 2002-02-28 Murata Mfg Co Ltd レジストパターン、配線形成方法、及び電子部品
US6764946B1 (en) * 2003-10-01 2004-07-20 Advanced Micro Devices, Inc. Method of controlling line edge roughness in resist films
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
WO2008149989A1 (ja) * 2007-06-08 2008-12-11 Tokyo Electron Limited パターニング方法
US8361704B2 (en) * 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
KR101211736B1 (ko) 2010-12-20 2012-12-12 엘지이노텍 주식회사 액정표시장치용 고정세 인쇄판 및 그의 제조 방법
JP5840446B2 (ja) * 2011-10-11 2016-01-06 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH レジストパターンの表面処理方法およびそれを用いたレジストパターン形成方法
JP5918122B2 (ja) 2012-04-06 2016-05-18 東京エレクトロン株式会社 パターン形成方法、パターン形成装置、及びコンピュータ可読記憶媒体
JP5934565B2 (ja) * 2012-04-20 2016-06-15 東京応化工業株式会社 パターンの縮小方法、及び組成物
JP5919210B2 (ja) * 2012-09-28 2016-05-18 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
KR101674972B1 (ko) * 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
KR102270752B1 (ko) * 2014-08-11 2021-07-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법

Also Published As

Publication number Publication date
US20170287749A1 (en) 2017-10-05
JP2016066681A (ja) 2016-04-28
TWI612559B (zh) 2018-01-21
JP6235974B2 (ja) 2017-11-22
US20190341255A1 (en) 2019-11-07
US11574812B2 (en) 2023-02-07
TW201624541A (zh) 2016-07-01
US10418242B2 (en) 2019-09-17
WO2016047493A1 (ja) 2016-03-31

Similar Documents

Publication Publication Date Title
KR101578939B1 (ko) 처리 시스템 및 가스 분배 시스템
US10763123B2 (en) Method for processing workpiece
US20180158684A1 (en) Method of processing target object
JP5919210B2 (ja) 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
KR20080109888A (ko) 기판 상의 잔류물을 제거하기 위한 에칭후 처리 시스템
US11574812B2 (en) Computer storage medium to perform a substrate treatment method using a block copolymer containing a hydrophilic and hydrophobic copolymers
TW201724162A (zh) 被處理體之處理方法
US11823903B2 (en) Method for processing workpiece
TW202215162A (zh) 用於圖案化輻射光阻圖案化的整合型乾式製程
WO2012093683A1 (ja) 低分子化合物の分子レジストの蒸着装置
US10991594B2 (en) Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
US10714340B2 (en) Method for processing workpiece
JP2014027228A (ja) 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
TW201426845A (zh) 基板處理方法、程式、電腦記憶媒體及基板處理系統
KR20160067739A (ko) 기판 처리 방법, 프로그램, 컴퓨터 기억 매체 및 기판 처리 시스템
JP2014026230A (ja) 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9721766B2 (en) Method for processing target object
KR102268930B1 (ko) 기판 처리 방법, 판독 가능한 컴퓨터 기억 매체 및 기판 처리 시스템
WO2017069200A1 (ja) 基板処理方法及びコンピュータ記憶媒体
WO2023147212A1 (en) Enhanced euv underlayer effect with diffusion barrier layer
KR102122343B1 (ko) 기판 처리 방법 및 컴퓨터 기억 매체
TW202414542A (zh) 離子佈植用於增加與阻劑材料的黏合
KR20180072695A (ko) 기판 처리 방법 및 컴퓨터 기억 매체

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application
E601 Decision to refuse application
E801 Decision on dismissal of amendment