KR20160083049A - 산화물-실리콘 스택을 위한 접착 개선들 - Google Patents

산화물-실리콘 스택을 위한 접착 개선들 Download PDF

Info

Publication number
KR20160083049A
KR20160083049A KR1020167014694A KR20167014694A KR20160083049A KR 20160083049 A KR20160083049 A KR 20160083049A KR 1020167014694 A KR1020167014694 A KR 1020167014694A KR 20167014694 A KR20167014694 A KR 20167014694A KR 20160083049 A KR20160083049 A KR 20160083049A
Authority
KR
South Korea
Prior art keywords
material layer
substrate
plasma
gas
degrees celsius
Prior art date
Application number
KR1020167014694A
Other languages
English (en)
Inventor
신하이 한
수발락슈미 스리카라
나가라잔 라자고팔란
복현 김
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160083049A publication Critical patent/KR20160083049A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • H01L27/11556
    • H01L27/11582
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

실시예들은 일반적으로, 실리콘 산화물/비정질 실리콘 스택 내의 수소 함유량을 제어하는 방법들에 관한 것이다. 기판의 잔류물들을 사전세정하고, 스택 증착 동안 수소의 전달을 제어하고, 그리고 이후의 층 증착 및 프로세싱 동안, 증착된 층들로부터의 수소의 아웃개싱(outgassing)을 막음으로써, 이를 테면 3D NAND 디바이스들과 같은 디바이스들의 형성시에 딜라미네이션(delamination)의 영향(effect)들을 피할 수 있다.

Description

산화물-실리콘 스택을 위한 접착 개선들{ADHESION IMPROVEMENTS FOR OXIDE-SILICON STACK}
[0001] 본원에서 설명되는 실시예들은 일반적으로, 컴퓨터 메모리 디바이스들 내의 스택 접착(stack adhesion)을 개선하는 방법들에 관한 것이다.
[0002] 컴퓨터 메모리 디바이스들은, 더 적은 비용으로 증가된 용량을 갖는 더 작은 기하형상(geometry)들을 향해 계속해서 나아가고 있다. 이를 위하여, 메모리 셀들의 컴포넌트들은 3D 셀들을 생성하기 위해 서로의 상부에 스택킹된다(stacked). 그러한 하나의 기술은, 데이터 저장 및 이송을 위해, 메모리 카드들, USB 플래시 드라이브들, 고상(solid-state) 드라이브들 및 유사한 제품들에서 발견될 수 있는 NAND 플래시 메모리이다. NAND 플래시 메모리에서, 트랜지스터들로부터 제조되는 메모리 셀들은 직렬로 연결되며, 고밀도로 패킹된(densely packed) 고 용량 디바이스들을 생성하기 위해 수직 층들로 스택킹될 수 있다. 움직이는 부분(moving part)들이 없기 때문에, 플래시 드라이브들은 더 적은 전력을 이용하며, 통상적인 하드 드라이브들 보다 더 내구성이 있다. 따라서, 플래시 드라이브들의 크기 및 비용을 감소시키면서, 플래시 드라이브들의 용량을 증가시키고자 하는 데에 큰 관심이 존재한다.
[0003] 하지만, 플래시 기술이 진보함에 따라, 소규모(small scale)로 고 용량 디바이스들을 생성하는 방법에 있어서 제한들이 존재한다. 예를 들어, 미세한 규모(microscopic scale)로 결합되는 상이한 재료들은, 플래시 메모리 디바이스에서 불-균일성들을 이끄는 상이한 물리적 특성들을 갖는다. 또한, 550℃ 내지 800℃의 온도에서의 특정 프로세스 단계들을 포함하는, 강한 열 프로세스(high heat process) 단계들은, 상이한 재료들로 하여금 상이한 레이트들로 체적 변화(volume change)들을 겪게 할 수 있다. 하나의 예에서, 산화물/실리콘 스택(oxide/Si stack)들은 고온들에서 블리스터링(blister)되거나, 벗겨지거나, 버블링(bubble)되거나, 또는 그렇지 않으면 딜라미네이팅(delaminate)될 수 있다. 딜라미네이션(delamination)의 원인은, 산화물/실리콘 스택으로부터의 수소 아웃개싱(outgassing) 또는 산화물/실리콘 스택 증착 이전에 웨이퍼의 부적절한 세정과 관련된 것으로 여겨진다. 이들 딜라미네이션 문제(issue)들은 상이한 층들의 증착된 스택이 뒤틀어지게(warp) 야기할 수 있다. 뒤틀림(warping) 문제들은, 제조시에 효과적으로 증착될 수 있는 층들의 개수를 제한하며, 그리고 전체 메모리 디바이스에 대해 이용가능한 기능적(functioning) 메모리 스트링(memory string)들의 개수를 감소시킬 수 있다.
[0004] 따라서, 이를 테면 3D 메모리 구조들과 같은 메모리 구조들을 형성하는 개선된 방법들이 필요하다. 또한, 산화물/실리콘 층들의 고장(failure)을 막는 개선된 방법들이 필요하다.
[0005] 본원에서 개시되는 실시예들은 일반적으로, 실리콘 산화물/실리콘 스택의 접착을 개선하는 방법들에 관한 것이다. 일 실시예에서, 방법은, PECVD 챔버 내에 기판을 배치하는(positioning) 단계; 에너자이징된(energized) 사전세정 가스(preclean gas)를 생성하기 위해, 사전세정 가스를 플라즈마로 에너자이징(energizing)하는 단계; 에너자이징된 사전세정 가스를 기판에 전달하는 단계; PECVD 챔버를 퍼징(purging)하는 단계; 및 진공의 존재하에서 기판 상에 하나 또는 그 초과의 실리콘 산화물/실리콘-함유 스택(silicon oxide/silicon containing stack)들을 증착하는 단계를 포함할 수 있다. 실리콘 산화물/실리콘-함유 스택을 증착하는 단계는, 제 1 프로세스 가스를 제 1 플라즈마로 에너자이징하는 단계; 제 1 플라즈마로부터 기판 상에 제 1 재료 층을 증착하는 단계; 제 2 프로세스 가스를 제 2 플라즈마로 에너자이징하는 단계; 제 2 플라즈마로부터 기판 상에 제 2 재료 층을 증착하는 단계; 및 미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 기판 상에 증착될 때 까지, 상기 단계들을 반복하는 단계를 포함할 수 있고, 제 1 재료 층 및 제 2 재료 층은 실리콘 산화물 층 또는 비정질 실리콘 층이며, 그리고 제 2 재료 층은 제 1 재료 층과 상이하다.
[0006] 다른 실시예에서, 스택을 형성하는 방법은, 제 1 프로세스 가스를 제 1 플라즈마로 에너자이징하는 단계; 제 1 플라즈마로부터 기판 상에 제 1 재료 층을 증착하는 단계 ― 제 1 재료 층은 제 1 두께를 가짐 ― ; 제 1 재료 층의 표면을 노출시키기 위해 PECVD 챔버를 플라즈마 퍼징(plasma purging)하여, 제 1 가스 오염물질(gas contaminant)들을 생성하는 단계; 제 1 가스 오염물질들을 제거하기 위해, PECVD 챔버를 가스 퍼징(gas purging)하는 단계; 제 2 프로세스 가스를 제 2 플라즈마로 에너자이징하는 단계; 제 2 플라즈마로부터 제 1 재료 층 상에 제 2 재료 층을 증착하는 단계 ― 제 2 재료 층은 제 2 두께를 가짐 ― ; 제 2 재료 층의 표면을 노출시키기 위해 PECVD 챔버를 플라즈마 퍼징하여, 제 2 가스 오염물질들을 생성하는 단계; 제 2 가스 오염물질들을 제거하기 위해, PECVD 챔버를 가스 퍼징하는 단계; 및 미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 기판 상에 증착될 때 까지, 상기 단계들을 반복하는 단계를 포함할 수 있고, 여기서, 상기 단계들 중의 적어도 하나의 단계 동안, 기판 지지부, 챔버, 또는 그 조합들의 적어도 일부는, 섭씨 약 500도 내지 섭씨 약 650도의 온도로 유지되고, 제 1 재료 층 및 제 2 재료 층은 실리콘 산화물 층 또는 비정질 실리콘 층이며, 그리고 제 2 재료 층은 제 1 재료 층과 상이하다.
[0007] 본 발명의 방법들, 디바이스들 및 장치의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본원에서 설명되는 방법들, 디바이스들 및 장치는 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0008] 도 1은 본원에서 설명되는 일 실시예에 따른 디바이스를 도시한다.
[0009] 도 2는 본원에서 개시되는 일 실시예에 따른 PECVD 프로세싱 챔버를 도시한다.
[0010] 도 3은, 본원에서 설명되는 일 실시예에 따른, 스택을 형성하기 전에 기판을 플라즈마 처리하기 위한 방법의 흐름도를 도시한다.
[0011] 도 4a 및 4b는, 일 실시예에 따른, 실리콘 산화물/실리콘 스택 내의 수소를 제어하기 위한 방법의 흐름도를 도시한다.
[0012] 이해를 촉진시키기 위해, 도면들에 대해 공통적인 동일한 엘리먼트들을 가리키기 위해 가능한 경우 동일한 도면부호들이 사용되었다. 일 실시예의 엘리먼트들 및 피쳐들은 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있음이 예상된다.
[0013] 실시예들은 일반적으로, 이를 테면 3D NAND 애플리케이션들에서와 같은, 교번하는 층 증착(alternating layer deposition)에 있어서 실리콘 산화물/실리콘 스택들의 고장을 막는 방법들에 관한 것이다. 본원에서 개시되는 실시예들은, 캘리포니아 산타클라라의 Applied Materials, Inc.로부터 입수가능한 RTP 챔버들 또는 PECVD 챔버들에서 실행될 수 있다. 다른 제조업자들에 의해 제조되는 챔버들을 포함하는 다른 챔버들이, 본원에서 설명되는 실시예들로부터 이득을 얻을 수 있음이 고려된다.
[0014] 도 1은 본원에서 설명되는 일 실시예에 따른 디바이스(100)를 도시한다. 디바이스(100)는, 복수의 제 1 재료 층들(104) 및 복수의 제 2 재료 층들(106)을 갖는 기판(102)을 포함한다. 이후의 층들은, 제 1 재료 층들(104)과 제 2 재료 층들(106)의 이러한 교번적인 패턴을 반복할 수 있다. 일 실시예에서, 제 1 재료 층(104)은 실리콘 산화물 층일 수 있고, 제 2 재료 층(106)은 비정질 실리콘 층일 수 있다. 추가의 실시예들에서, 제 1 재료 층/제 2 재료 층 스택들은 산화물/실리콘, 실리콘/도핑된 실리콘, 또는 실리콘/질화물일 수 있다. 재료들의 이들 조합들 모두는, BiCS(Bit-Cost Scalable), TCAT(Terabit Cell Array Transistor) 및 다른 3D 메모리 구조들에서 사용될 수 있다. 다른 실시예들에서, 제 1 재료 층/제 2 재료 층 스택들은 재료들의 다른 조합들일 수 있다. 또한, 기판(102) 상에서의 제 1 재료 층들(104) 및 제 2 재료 층들(106)의 증착 순서가 반대로 될 수 있다.
[0015] 층들의 개수는 제조되고 있는 메모리 디바이스에 의존할 수 있다. 일 실시예에서, 스택 개수들은 8x, 또는 16x, 또는 24x, 또는 심지어 더 높을 수 있으며, 8, 16, 24, 32, 64, 128 또는 그 초과의 층들의 각각의 스택이 하나의 메모리 디바이스에 상응한다. 상이한 재료들의 2개의 층들이 각각의 스택을 형성하며, 따라서, 8x 스택 개수에 대한 층들의 상응하는 개수는 16개이고, 16x 스택 개수는 32개의 층들을 가질 수 있고, 24x 스택 개수는 48개의 층을 가질 수 있으며, 그리고 더 높은 스택 개수는 각각 더 많은 개수의 층들을 가질 수 있다.
[0016] 도 2는 본원에서 개시되는 일 실시예에 따른 PECVD 프로세싱 챔버(200)를 도시한다. 프로세싱 챔버(200)는 페디스털(202), 프로세스 가스 매니폴드(204), 프로세스 가스 소스(206), 전극(208), 교류 RF 전력을 방출하는 RF 전력 소스(210), 히터(211) 및 퍼지 가스 소스(212)를 포함한다. 실시예에서, 전극(208)은, 용량성으로 결합되는 구성(capacitively coupled configuration)으로 전기적으로 접지되는 페디스털(202) 바로 위에 있을 수 있다. 실시예에서, 전극(208)은, 프로세스 가스들을 위한 유동 경로들을 갖는 샤워헤드 구조일 수 있다. 프로세스 및 퍼지 가스들은, 매니폴드(204) 및 전극(208)을 통해, 페디스털 위의 프로세싱 챔버(200) 내로 유동할 수 있다.
[0017] 프로세싱 동안, 기판(102)은 페디스털(202) 상에 배치되고, PECVD 프로세싱 챔버(200)에 진공이 적용된다(applied). 기판(102)을 가열하기 위해 히터(211)에 에너지가 인가될 수 있다. 제 1 그룹의 프로세싱 가스들이 매니폴드(204)를 통해 프로세싱 챔버(200) 내로 통과할 수 있다. 전극(208)이 RF 전력 소스(210)에 의해 에너자이징되어, 접지된 페디스털(202)과 전극(208) 사이에 전기장(electrical field)을 생성한다. 실시예에서, 페디스털(202)은, 전극(208)과 기판(102)의 상단부(top) 간의 간격이 제어될 수 있게 허용하는 가변 높이 조정기(variable height adjuster) 위에 있을 수 있다. 제 1 그룹의 프로세스 가스들은 RF 전기장에 의해 에너자이징되어, 플라즈마(216)를 발생시킨다. 플라즈마(216)는, 이온화되는, 상당한 퍼센티지의 원자들 또는 분자들을 가지며, 이러한 원자들 또는 분자들은 전자들을 방출한다(release). 이들 에너제틱(energetic)한 전자들은 전구체 분자들의 해리(dissociation) 및 다량(large quantities)의 자유 라디칼(free radical)들의 생성을 유도할 수 있다. 이는 기판(102) 상으로의 재료의 증착을 초래한다. 요구되는 두께의 제 1 재료가 증착되면, 증착이 중지될 수 있다. 제 1 재료의 층 두께는 100Å 내지 1000Å 일 수 있다.
[0018] 제 1 재료가 기판(102) 상에 증착된 후, PECVD 프로세싱 챔버(200)는 플라즈마 퍼징된다(plasma purged). 퍼지 가스는, 퍼지 가스 소스(212)로부터 매니폴드(204) 및 프로세싱 챔버(200) 내로 유동할 수 있다. 전극(208) 및 페디스털(202)이 에너자이징되어, 퍼지 가스 플라즈마를 발생시킨다. 프로세싱 챔버(200) 내에서 사용될 수 있는 퍼지 가스들은, NH3, N2, N2O, H3, Ar 및 다른 적합한 플라즈마 퍼지 가스들을 포함한다. 퍼지 프로세스 동안, 프로세싱 챔버 내에서 열 및 압력이 유지될 수 있다. 플라즈마 퍼지는, 부가적인 증착들을 위해, 노출된 층의 표면을 컨디셔닝(condition)한다. 컨디셔닝된(conditioned) 표면은, 층들 간의 매끄러운(smooth) 인터페이스, 층들 간의 더 우수한 접착, 뿐만 아니라, 더 우수한 입자 제어를 초래한다. 몇몇 실시예들에서는, 더 거친(rougher) 인터페이스가 더 우수한 층 결합(bonding)을 위해 바람직할 수 있으며, 상이한 또는 부가적인 플라즈마 퍼지 프로세스가 수행될 수 있다. 플라즈마 퍼지가 완료된 후, 전극(208) 및 페디스털(202)에 대한 에너지가 턴오프될(turned off) 수 있으며, 그리고 모든 가스 오염물질들을 제거하기 위해 가스 퍼지 소스(214)로부터의 가스 퍼지가 매니폴드(204) 및 프로세싱 챔버(200) 내로 유동한다. 실시예에서, 퍼지 프로세스 동안, 전구체 가스의 하나 또는 그 초과의 컴포넌트(component)들은 중지된다. 예를 들어, 프로세스 가스가 N2O와 SiH4의 혼합물을 포함하는 경우, 퍼지 가스는 단지 N2O 만을 포함할 수 있고, SiH4의 유동은 차단된다(shut off). 다른 실시예들에서, 상이한 퍼지 가스 또는 퍼지 가스들이 사용될 수 있다.
[0019] 플라즈마 및 가스 퍼지들이 완료된 후, 제 2 재료가 기판 상에 증착될 수 있다. 전극(208)이 RF 전력 소스(210)에 의해 에너자이징되어, 접지된 페디스털(202)과 전극(208) 사이에 전기장을 생성하며, 그리고 제 2 그룹의 프로세스 가스들이 전기장에 의해 에너자이징되어, 플라즈마(216)를 발생시킨다. 요구되는 두께의 제 2 재료가 증착되면, 증착은 중지될 수 있다. 제 2 재료의 층 두께는 100Å 내지 1000Å 일 수 있다. 제 2 재료 층이 증착된 후, 상기 설명된 바와 같이, 프로세싱 챔버(200)는 플라즈마 퍼징될 수 있다. 이후, 상기 설명된 바와 같이, 전극(208)에 대한 에너지가 제거될 수 있고, 프로세싱 챔버(200)는 가스 퍼징된다. 플라즈마 및 가스 퍼지들이 완료되면, 요구되는 층들이 증착될 때 까지, 제 1 재료 및 제 2 재료를 증착하는 프로세스가 반복될 수 있다. 이후, 부가적인 프로세싱을 위해, 기판(102)이 프로세싱 챔버(200)로부터 제거될 수 있다.
[0020] 실리콘 산화물/실리콘 스택들을 증착하는 동안, 다수의 요인들, 이를 테면, 기판 내의 이전에 존재하는(preexisting) 결함들, 기판 내의 과도한(excess) 수소, 스택 내의 과도한 수소, 스택간 인터페이스(stack to stack interface)에서의 결함들 또는 다른 문제들로 인해, 변형(deformation)들이 발생할 수 있다. 도 3 및 4a-4b는 상기 설명된 문제들 중 일부를 해결하기 위한 방법들을 설명한다.
[0021] 도 3은 본원에서 설명되는 일 실시예에 따른, 스택을 형성하기 전에 기판을 플라즈마 처리하기 위한 방법(300)의 흐름도를 도시한다. 하나 또는 그 초과의 실시예들에서, 기판은 노출된 표면들 중의 하나 또는 그 초과의 노출된 표면들 상에, 탄소-함유 잔류물들, 산소-함유 잔류물들 또는 다른 잔류물들을 가질 것이다. 기판 세정 단계들, 이를 테면 증착 전 에칭 단계(pre-deposition etch step)들이 일부 잔류물들을 제거할 수 있기는 하지만, 다른 잔류물들이 남을 수 있다. 이후, 이러한 남아있는 잔류물들은 스택 증착을 방해할 수 있다. 상기 잔류물들 중 하나 또는 그 초과는, 활성화된 산소-함유 가스, 활성화된 수소-함유 가스 또는 활성화된 비활성 가스를 사용하여 제거될 수 있다.
[0022] 방법(300)은, 엘리먼트(302)에서와 같이, 프로세싱 챔버에 기판을 배치하는 것으로 시작된다. 일 실시예에서, 프로세싱 챔버는 도 1과 관련하여 상기 설명된 바와 같은 PECVD 챔버이다. 기판은 실리콘 기판 또는 다른 기판들일 수 있다. 챔버 내의 진공 압력은 약 0.5 Torr 내지 10 Torr 일 수 있다. 프로세싱 챔버는 약 180℃ 내지 650℃로 가열된다. 온도 및 압력은 이후의 프로세스 단계들 전반에 걸쳐서 유지될 수 있거나, 또는 온도가 단계들 사이에서 달라질 수 있다.
[0023] 기판이 프로세싱 챔버 내에 배치됨에 따라, 엘리먼트(304)에서와 같이, 에너자이징된 사전세정 가스를 생성하기 위해, 사전세정 가스를 플라즈마로 에너자이징한다. 사전세정 가스는 산소-함유 가스, 이를 테면 O2, O3, N2O, NO, NO2, N2O3 또는 이들의 조합들일 수 있다. 산소-함유 가스는 수소를 더 포함할 수 있지만, 이것이 필수적인 것은 아니다. 산소-함유 가스는 해리되어 탄소 및 다른 불순물들과 반응할 것이다. 결과적인 생성물들은, 이를 테면 CO2 및 수증기와 같이 주로 기체로 존재하며(gaseous), 이는 이후 챔버로부터 제거된다. 다른 실시예에서, 사전세정 가스는 수소-함유 가스, 이를 테면 NH3 또는 H2 또는 이들의 조합들일 수 있다. 수소는 해리되고 산화물들과 반응하여, 물을 형성할 것이다. 다른 실시예에서, 사전세정 가스는 비활성 가스, 이를 테면 아르곤일 수 있다. 비활성 가스는, 산화물들, 탄소 함유 잔류물들 및 다른 잔류물들을 포함하는 표면 잔류물들을 스퍼터링하여 제거할(sputter off) 것이다. 스퍼터링은, 저 압력 및 저 에너지 플라즈마를 사용하는 저 에너지 스퍼터이다. 이러한 방식으로, 에너자이징된 비활성 가스는 기판의 표면의 약하게 결합된 부분(weakly bonded portion)들 만을 스퍼터링한다. 상기 설명된 사전세정 가스들 중 임의의 사전세정 가스는, 비활성 가스 또는 제 2 비활성 가스와 추가적으로 혼합될 수 있다. 비활성 가스들은 질소, 아르곤, 헬륨, 다른 노블 가스(noble gas)들 또는 다른 비-반응성 가스들을 포함한다.
[0024] 에너자이징된 사전세정 가스는 플라즈마로 직접적으로 변환될 수 있거나 또는 다른 가스로부터 형성되는 플라즈마 내로 유동될 수 있다. 하나의 예에서, 플라즈마는 비활성 가스로부터 형성된다. 이후, 사전세정 가스가 플라즈마에 전달되어, 에너자이징된 사전세정 가스를 생성한다. 또한, 플라즈마는 프로세싱 챔버의 프로세싱 영역에서 형성될 수 있거나, 또는 플라즈마는 원격에서 형성되어 프로세싱 챔버의 프로세싱 영역에 전달될 수 있다.
[0025] 상기 설명된 프로세싱 챔버에서는, 프로세싱 영역 내에서 플라즈마가 형성된다. 플라즈마는 RF 플라즈마 또는 다른 타입들의 플라즈마일 수 있다. 전극들에 인가되는 RF 전력은 약 45 와트(W) 내지 약 1000 W 일 수 있다. 기판과 전극 사이의 간격은 약 200 mils 내지 약 800 mils 일 수 있다. 사전세정 가스는 300 mm 기판에 대해 약 1000 sccm(standard cubic centimeters per minute) 내지 약 20,000 sccm의 유량을 가질 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 사전세정 가스의 유량은 약 0.011 sccm/mm2 내지 약 0.22 sccm/mm2 일 수 있다.
[0026] 사전세정 가스가 에너자이징된 후, 엘리먼트(306)에서와 같이, 사전세정 가스는 이후 기판에 전달된다. 에너자이징된 사전세정 가스는 이후, 기판의 표면 상에 형성된 산화물들, 이전 프로세스들로부터의 탄소-함유 잔류물들, 또는 다른 느슨하게 결합된(loosely bonded) 잔류물들과 반응할 수 있다.
[0027] 엘리먼트(308)에서와 같이, 분리된(detached) 또는 반응된 잔류물들은 이후 프로세싱 챔버로부터 퍼징된다. 상기 설명된 바와 같이, 에너자이징된 사전세정 가스는 표면 잔류물들과 반응하여, 수증기, CO2, 또는 다른 산화물들 또는 수소화물(hydride)들을 형성할 수 있다. 분자들은 주로 가스 상태이며, 따라서, 챔버로부터 퍼징될 수 있다. 퍼지 단계는, 이를 테면 비활성 가스와 같은 퍼지 가스를 포함한다. 퍼지 가스는 300 mm 기판에 대해 약 2,000 sccm 내지 약 30,000 sccm의 유량으로 전달된다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 사전세정 가스의 유량은 약 0.022 sccm/mm2 내지 약 0.33 sccm/mm2 일 수 있다. 퍼지 가스 프로세스는, 제거된 잔류물들의 재증착의 방지 및 더 우수한 입자 제어를 초래한다.
[0028] 프로세싱 챔버가 퍼징되면, 엘리먼트(310)에서와 같이, 하나 또는 그 초과의 실리콘 산화물/실리콘 스택들이 기판 상에 증착될 수 있다. 일 실시예에서, 스택들은 진공의 존재하에서 증착된다. 스택 증착을 위해, 기판은 프로세싱 챔버 내에 유지되며, 챔버에 진공이 적용된다. 챔버 내의 진공 압력은 약 0.5 Torr 내지 약 10 Torr 일 수 있다. 프로세싱 챔버는 약 180℃ 내지 약 650℃로 가열된다. 이후, 약 45W 내지 약 1000 W의, 고 주파수 또는 RF 전력이 전극에 인가될 수 있다. 기판과 전극 간의 간격은 약 200 mils 내지 약 800 mils 일 수 있다. 제 1 프로세스 가스들은 실리콘 함유 가스 및 산소 함유 가스를 포함한다. 이러한 실시예에서, 실리콘-함유 가스는 실란(SiH4)이고, 산소 함유 가스는 N2O 이다. 300 mm 기판에 대해 N2O의 유량은 약 1000 sccm 내지 약 20000 sccm 일 수 있으며 그리고 SiH4는 약 20 sccm 내지 약 1000 sccm의 유량을 가질 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 SiH4의 유량은 약 0.00022 sccm/mm2 내지 약 0.011 sccm/mm2 일 수 있고, 기판의 표면적의 제곱 밀리미터당 N2O의 유량은 약 0.11 sccm/mm2 내지 약 0.22 sccm/mm2 일 수 있다. SiH4 및 N2O는 에너자이징되어, Si 및 O 이온들을 포함하는 플라즈마로 변환될 것이다. 이온들의 반응은 실리콘 산화물의 층이 기판 상에 증착되게 한다. 요구되는 두께의 실리콘 산화물이 증착된 후, 증착은 중지된다.
[0029] 실리콘 산화물 층이 증착된 후, 실리콘 산화물 층 상에 실리콘 층이 증착될 수 있다. 챔버 내의 압력은 약 0.5 Torr 내지 약 10 Torr 일 수 있다. 프로세싱 챔버는 약 400℃ 내지 약 650℃로 가열될 수 있다. 전극에 인가되는, 고 주파수 또는 RF 전력은 약 50 W 내지 약 700 W 일 수 있고, 기판과 전극 간의 간격은 약 200 mils 내지 약 800 mils 일 수 있다. 프로세스 가스들은 실리콘-함유 가스 및 비활성 가스를 포함할 수 있다. 이러한 실시예에서, 프로세스 가스들은 SiH4 및 He을 포함한다. 300 mm 기판에 대해 He의 유량은 약 1000 sccm 내지 약 20000 sccm 일 수 있으며 그리고 SiH4는 약 50 sccm 내지 약 2000 sccm의 유량을 가질 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 SiH4의 유량은 약 0.00056 sccm/mm2 내지 약 0.022 sccm/mm2 일 수 있고, 기판의 표면적의 제곱 밀리미터당 He의 유량은 약 0.011 sccm/mm2 내지 약 0.22 sccm/mm2 일 수 있다. 프로세스 가스들이 에너자이징되어 실리콘 이온들을 형성하며, 이러한 실리콘 이온들은 전자들과 반응하여, 증착되는 스택들의 요구되는 개수의 층을 증착한다. 이후, 요구되는 개수의 실리콘 산화물 및 비정질 실리콘 층들이 기판 상에 증착될 때 까지, 프로세스 단계들이 반복될 수 있다.
[0030] 스택들이 증착된 후, 프로세싱 챔버는 주변 압력(ambient pressure)으로 되고, 기판은 제거된다. 3D 메모리에 대해, 적어도 8개의 재료 층들이 기판 상에 증착되어야 한다. 부가적인 프로세싱이 다른 프로세싱 챔버들에서 수행될 수 있다.
[0031] 다른 실시예에서, 제 1 재료 층들 및 제 2 재료 층들의 증착 이전에, 기판은 실란 소크(silane soak)에 노출될 수 있다. SiH4가 프로세싱 챔버의 프로세싱 영역에 전달될 수 있다. SiH4는 300 mm 기판에 대해 약 50 sccm 내지 약 2000 sccm의 유량을 가질 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 SiH4의 유량은 약 0.00056 sccm/mm2 내지 약 0.022 sccm/mm2 일 수 있다.
[0032] 도 4a 및 4b는 일 실시예에 따른, 실리콘 산화물/실리콘 스택 내의 수소를 제어하기 위한 방법(400)의 흐름도를 도시한다. 실리콘 산화물/실리콘 스택으로부터의 수소 아웃개싱은 스택의 분리(separation) 또는 스택의 하부(underlying) 층들에서의 버블링을 이끌 수 있다. 따라서, 스택은 시간이 지남에 따라 뒤틀어질(distorted) 수 있다. 스택으로부터 과도한 수소를 제거함으로써, 더 많은 증착 사이클들 동안에 스택의 평면도(planarity)가 유지될 수 있다.
[0033] 방법(400)은, 엘리먼트(402)에서와 같이, 제 1 프로세스 가스를 제 1 플라즈마로 에너자이징함으로써 시작된다. 제 1 프로세스 가스는 도 3과 관련하여 설명된 제 1 프로세스 가스와 동일할 수 있다. 도 3과 관련하여 상기 설명된 파라미터들을 사용하여, 제 1 프로세스 가스가 챔버에 전달되어 플라즈마로 활성화될 수 있다.
[0034] 엘리먼트(404)에서와 같이, 제 1 플라즈마를 사용하여, 제 1 재료 층이 기판 상에 증착된다. 제 1 재료 층은 제 1 두께를 갖는다. 제 1 재료 층의 증착은 도 3과 관련하여 상기 설명된 것과 동일할 수 있다. 제 1 두께는 스택의 전체적인 인장 응력 또는 압축 응력을 제어하기 위해 사용될 수 있다. 제 1 두께는 100Å 내지 약 1000Å 일 수 있다.
[0035] 제 1 재료 층이 증착되면, 엘리먼트(406)에서와 같이, 제 1 재료 층의 제 1 표면을 노출시키기 위해 PECVD 챔버가 플라즈마 퍼징될(plasma purged) 수 있다. 실리콘 산화물이 증착된 후, PECVD 프로세싱 챔버는 플라즈마 퍼징 및 가스 퍼징될(gas purged) 수 있다. 온도는 약 180℃ 내지 약 650℃ 일 수 있고, 진공 압력은 약 0.5 Torr 내지 약 10 Torr 일 수 있다. 기판과 전극 간의 간격은 약 200 mils 내지 약 800 mils 일 수 있다. N2O의 퍼지 가스가 약 2000 sccm 내지 약 30000 sccm의 유량으로 프로세싱 챔버의 프로세싱 영역에 전달될 수 있다. 다른 실시예에서, 기판의 단위 면적의 제곱 밀리미터당 퍼지 가스의 유량은 약 0.022 sccm/mm2 내지 약 0.33 sccm/mm2 일 수 있다. 퍼지 가스 플라즈마를 발생시키기 위해, 전극 및 페디스털은 100 W 내지 1000 W의 전력으로 에너자이징된다.
[0036] 이후, 엘리먼트(408)에서와 같이, 제 1 가스 오염물질들을 제거하기 위해, PECVD 챔버는 가스 퍼징될 수 있다. N2O의 퍼지 가스가, 플라즈마의 형성없이, 약 2000 sccm 내지 약 30000 sccm의 유량으로 프로세싱 챔버의 프로세싱 영역에 추가적으로 전달될 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 퍼지 가스의 유량은 약 0.022 sccm/mm2 내지 약 0.33 sccm/mm2 일 수 있다. 플라즈마 퍼징 및 N2O 퍼징은 프로세싱 챔버를 세정하며, 그리고 층들 간의 매끄러운 인터페이스, 증착되는 층들 간의 더 우수한 접착, 및 더 우수한 입자 제어를 초래한다. 제 1 표면의 노출은 제 1 가스 오염물질들을 생성할 수 있다.
[0037] PECVD 챔버가 퍼징되면, 엘리먼트(410)에서와 같이, 제 2 프로세스 가스가 제 2 플라즈마로 에너자이징될 수 있다. 제 2 프로세스 가스는 도 3과 관련하여 설명된 제 2 프로세스 가스와 동일할 수 있다. 도 3과 관련하여 상기 설명된 파라미터들을 사용하여, 제 2 프로세스 가스가 챔버에 전달되어 플라즈마로 활성화될 수 있다.
[0038] 엘리먼트(412)에서와 같이, 제 2 플라즈마를 사용하여, 제 1 재료 층의 제 1 표면 상에 제 2 재료 층이 증착될 수 있다. 제 2 재료 층은 제 2 두께를 갖는다. 제 2 재료 층은 도 3과 관련하여 설명된 바와 같이 증착될 수 있다. 일 실시예에서, 제 2 재료 층에 붕소가 부가될 수 있다. 붕소는, 증착 단계 동안 형성되는 폴리 수소화물(poly hydride)들을 모노 수소화물(mono hydride)들로 감소(decrease)시킴으로써, 댕글링 결합(dangling bond)들을 효과적으로 감소시킨다. 댕글링 결합들을 감소시킴으로써, 이를 테면 비정질 실리콘 층과 같은 제 2 재료 층의 표면은, 이를 테면 실리콘 산화물 층과 같은, 이후 증착되는 제 1 재료 층에 대해 더 접착성이 있게(adherent) 될 수 있다.
[0039] 제 2 재료 층이 증착된 후, 엘리먼트(414)에서와 같이, 제 2 재료 층의 제 2 표면을 노출시키기 위해, PECVD 챔버는 플라즈마 퍼징될 수 있다. 퍼지 프로세스는, 약 2,000 sccm 내지 약 30000 sccm의 유량의 N2 퍼지 가스를 사용하는, 엘리먼트(406)와 관련하여 상기 설명된 것과 동일한 프로세스일 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 SiH4의 유량은 약 0.00056 sccm/mm2 내지 약 0.022 sccm/mm2 일 수 있다. 제 1 표면과 마찬가지로, 제 2 표면의 노출은 제 2 가스 오염물질들을 생성할 수 있다.
[0040] 이후, 엘리먼트(416)에서와 같이, 제 2 가스 오염물질들을 제거하기 위해, PECVD 챔버는 가스 퍼징될 수 있다. 퍼지 프로세스는, 약 2,000 sccm 내지 약 30000 sccm의 유량의 N2 퍼지 가스를 사용하는, 엘리먼트(408)와 관련하여 상기 설명된 것과 동일한 프로세스일 수 있다. 다른 실시예에서, 기판의 표면적의 제곱 밀리미터당 SiH4의 유량은 약 0.00056 sccm/mm2 내지 약 0.022 sccm/mm2 일 수 있다.
[0041] 이후, 엘리먼트(418)에서와 같이, 미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 기판 상에 증착될 때 까지, 엘리먼트들(402-416)에서 설명된 단계들이 반복될 수 있다.
[0042] 상기 엘리먼트들(402-418) 중 하나 또는 그 초과의 엘리먼트에서, 기판의 온도는 섭씨 약 500도 초과로 유지될 수 있다. 일 실시예에서, 온도는 섭씨 약 500도 내지 섭씨 약 650도로 유지된다. 증가되는 온도는, 증착된 층들로부터 수소가 방출되도록 야기할 것이다. 따라서, 이후에 증착되는 층들은, 보다 높은 온도들에서 프로세싱될 때, 버블링되지 않거나 또는 그렇지 않으면 딜라미네이팅되지 않을 것이다. 가열은 급속 열 프로세싱(RTP) 챔버에서 수행될 수 있는 바, 여기에서는, 하나 또는 그 초과의 증착된 제 1 재료 층들 및/또는 증착된 제 2 재료 층들을 갖는 기판이 RTP 챔버로 이송되고, 섭씨 650도 미만의 온도에서 어닐링된다. 층의 비정질 특성(nature)은 섭씨 650도 미만의 온도들에서 유지될 것이다. 어닐 프로세스는, 약 3 분 내지 약 10 분, 이를 테면 약 7 분 동안 수행될 수 있다. 다른 실시예에서, 사전 어닐(pre-anneal) 동안 램프 레이트(ramp rate)가 제어된다. 램프 레이트를 제어함으로써, 증착된 층들 및/또는 기판으로부터의 수소 아웃개싱이 제어될 수 있다.
[0043] 상기 엘리먼트들(402-418) 중 하나 또는 그 초과의 엘리먼트에서, 기판은 복수의 온도 구역(zone)들을 가질 수 있다. 일 실시예에서, 온도 구역들은 기판의 내측 구역(inner zone) 및 내측 구역을 외접하는(circumscribe) 외측 구역(outer zone)일 수 있다. 외측 구역 및 내측 구역은 원형일 수 있다. 외측 구역 내의 온도는 내측 구역의 섭씨 약 5도 내지 섭씨 약 20도 이내일 수 있다. 접착 문제들은 웨이퍼의 엣지에서 더 빈번하게 발생한다. 이중 구역(dual zone) 히터를 사용함으로써, 그리고 내측 구역과 비교하여 외측 구역의 온도를 증가시킴으로써, 엣지 주위에서 수소 함유량이 감소될 수 있다.
[0044] 다른 실시예에서, 증착 가스 내에서의 과도한 또는 미반응된(unreacted) 수소를 감소시킴으로써, 층들의 수소 함유량이 감소될 수 있다. 압력을 증가시키고, 플라즈마 형성을 위한 전력을 낮추고, SiH4 유동을 증가시키고, 그리고 기판과 전극 간의 간격을 증가시킴으로써, 수용되는(received) 수소 함유량이 감소될 수 있다.
[0045] 다른 실시예에서, 제 2 재료 층과 비교하여 제 1 재료 층의 두께를 증가시킴으로써, 수소 함유량이 감소될 수 있다. 이는, 제 1 재료 층의 두께를 증가시키거나 또는 제 2 재료 층의 두께를 감소시킴으로써 달성될 수 있다.
[0046] 일어날 수 있는 다른 문제는, 상이한 재료들의 증착은, 기판 상에 증착된 후 압축 응력 또는 인장 응력을 유도할 수 있다는 것이다. 이러한 응력은 기판의 휨(bending)을 초래할 수 있다. 하나의 예에서, 제 1 재료의 층이 기판 상에 증착된다. 이후, 제 1 재료가 확장될(expand) 수 있어서, 압축 응력을 야기할 수 있다. 제 1 재료 층의 압축 응력은 기판과 마주하고 있어서(is opposed by), 기판의 엣지들이 아래로 휘어지게(bow down) 야기한다.
[0047] 다른 예에서, 제 2 재료의 층이 기판 상에 증착될 수 있고, 제 2 재료는 인장 응력을 유도할 수 있다. 인장 응력 또한 기판과 마주하고 있어서, 기판의 엣지들이 위쪽으로 휘어지게(bending upward) 야기할 것이다. 제조 허용오차(fabrication tolerance)들은 매우 정확해야 하기 때문에, 기판 또는 기판 내의 범프(bump)들의 임의의 휨(bending)은, 이후의 리소그래피 프로세싱이 수행될 때 정렬 문제(alignment issue)들을 초래할 수 있다. 이러한 오정렬(misalignment)은 제조 에러들 및 결함이 있는(defective) 디바이스 구조를 초래할 수 있다.
[0048] 이러한 문제를 정정하기(correct) 위해, 일 실시예에서, 각각의 증착되는 층에 의해 기판에 적용되는 응력을 튜닝(tune)하는 것이 가능하다. 이러한 응력은 증착되는 재료에 의해 결정될 수 있다. 응력은 또한, 프로세스 온도, 전구체 가스들의 유량들, 가스 압력 및 플라즈마 밀도를 포함하는 증착 프로세싱 조건들을 조정함으로써, 제한된 범위 내에서 튜닝될 수 있다. 예를 들어, 보다 저온의(cooler) 프로세싱 온도는 압축 응력을 생성할 수 있고, 보다 고온의(hotter) 프로세싱 온도는 인장 응력을 생성할 수 있다. 더 낮은 플라즈마 압력은 반응 종(reaction species)에 대한 이온 충격(ion bombardment)을 증가시킬 수 있으며, 그에 따라, 압축 응력을 야기할 수 있으며, 그리고 반대로, 더 높은 플라즈마 압력은 인장 응력을 초래할 수 있다. 플라즈마 밀도는, RF 전력을 증가시키거나 또는 기판 위의 간격을 감소시킴으로써 증가될 수 있는데, 이는 반응 종에 대한 더 많은 이온 충격을 생성할 수 있어서, 압축 응력을 초래할 수 있으며, 그리고 더 낮은 플라즈마 밀도는 인장 응력을 초래할 수 있다. 온도 및 플라즈마 밀도를 제어함으로써, 증착되는 재료들의 응력이 예측될 수 있다.
[0049] 일 실시예에서, 제 1 재료 층 또는 실리콘 산화물 층의 응력은 인장 레짐(tensile regime)으로 변경될 수 있다. 상기 설명된 바와 같이, 제 1 재료 층의 응력은, 플라즈마 밀도를 낮춤으로써 인장 레짐으로 변경될 수 있다. 전력을 낮추고, 압력을 증가시키고, 증착 가스들의 유동을 증가시키고, 기판과 전극 간에 더 넓은 간격을 생성하거나, 또는 이들의 결합들에 의해, 플라즈마 밀도를 낮출 수 있다. 산화물 층이 인장성이고(tensile), 충분히 고밀도(dense)가 아니라면, 이후의 고온 프로세스 단계들 동안, 필름들로부터 수소가 더 용이하게 아웃개싱될 수 있다.
[0050] 본원에서 설명되는 방법들의 이득들은, 증착 동안 아웃개싱으로 인한 스택 손상을 막고 그리고 증착 에러들을 막는 것을 포함한다. 본원에서 설명되는 방법들은, 프로세싱 동안 발생할 수 있는 딜라미네이션 문제들을 막는 데에 사용될 수 있으며, 그에 의해, 디바이스 고장을 감소시키면서, 더 크고 더 복잡한 스택들이 증착되도록 허용할 것이다.
[0051] 전술한 내용들이 본 발명의 방법들, 디바이스들, 및 장치의 실시예들에 관한 것이지만, 다른 그리고 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 안출될 수 있으며, 본 발명의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 방법으로서,
    프로세싱 챔버 내에 기판을 배치하는(positioning) 단계;
    에너자이징된(energized) 사전세정 가스(preclean gas)를 생성하기 위해, 사전세정 가스를 플라즈마로 에너자이징(energizing)하는 단계;
    상기 에너자이징된 사전세정 가스를 상기 기판에 전달하는 단계;
    상기 프로세싱 챔버를 퍼징(purging)하는 단계; 및
    진공의 존재하에서 상기 기판 상에 하나 또는 그 초과의 실리콘 산화물/실리콘-함유 스택(silicon oxide/silicon containing stack)들을 증착하는 단계를 포함하며,
    실리콘 산화물/실리콘-함유 스택을 증착하는 단계는,
    제 1 프로세스 가스를 제 1 플라즈마로 에너자이징하는 단계;
    상기 제 1 플라즈마로부터 상기 기판 상에 제 1 재료 층을 증착하는 단계;
    제 2 프로세스 가스를 제 2 플라즈마로 에너자이징하는 단계;
    상기 제 2 플라즈마로부터 상기 기판 상에 제 2 재료 층을 증착하는 단계; 및
    미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 상기 기판 상에 증착될 때 까지, 상기 단계들을 반복하는 단계를 포함하며,
    상기 제 1 재료 층 및 상기 제 2 재료 층은 실리콘 산화물 층 또는 비정질 실리콘 층이며, 그리고 상기 제 2 재료 층은 상기 제 1 재료 층과 상이한,
    방법.
  2. 제 1 항에 있어서,
    상기 기판은 탄소-함유 잔류물(residue)을 포함하며, 그리고 상기 사전세정 가스는 산소-함유 가스인,
    방법.
  3. 제 1 항에 있어서,
    상기 기판은 산소-함유 잔류물을 포함하며, 그리고 상기 사전세정 가스는 수소-함유 가스인,
    방법.
  4. 제 1 항에 있어서,
    상기 제 1 재료 층의 표면을 노출시키기 위해 상기 프로세싱 챔버를 플라즈마 퍼징(plasma purging)하여, 제 1 가스 오염물질(gas contaminant)들을 생성하는 단계;
    상기 제 1 가스 오염물질들을 제거하기 위해, 상기 프로세싱 챔버를 가스 퍼징(gas purging)하는 단계;
    상기 제 2 재료 층의 표면을 노출시키기 위해 상기 프로세싱 챔버를 플라즈마 퍼징하여, 제 2 가스 오염물질들을 생성하는 단계; 및
    상기 제 2 가스 오염물질들을 제거하기 위해, 상기 프로세싱 챔버를 가스 퍼징하는 단계를 더 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 기판은, 내측 구역(inner zone), 및 상기 내측 구역을 외접하는(circumscribe) 외측 구역(outer zone)을 포함하며, 그리고 상기 외측 구역의 온도는, 상기 내측 구역의 온도 보다 섭씨 5도 내지 섭씨 약 20도 더 높은,
    방법.
  6. 제 1 항에 있어서,
    상기 제 1 재료 층 및 상기 제 2 재료 층은, 섭씨 약 500도 내지 섭씨 약 650도의 온도에서 증착되거나, 또는 섭씨 약 500도 내지 섭씨 약 650도의 온도에서 순차적으로 어닐링되는,
    방법.
  7. 제 1 항에 있어서,
    상기 제 1 재료 층은 제 1 두께를 갖고, 상기 제 2 재료 층은 제 2 두께를 가지며, 그리고 상기 제 1 두께는 상기 제 2 두께 미만인,
    방법.
  8. 제 1 항에 있어서,
    상기 실리콘 산화물 층은 인장 응력을 갖는,
    방법.
  9. 스택을 형성하는 방법으로서,
    제 1 프로세스 가스를 제 1 플라즈마로 에너자이징하는 단계;
    상기 제 1 플라즈마로부터 기판 상에 제 1 재료 층을 증착하는 단계 ― 상기 제 1 재료 층은 제 1 두께를 가짐 ― ;
    상기 제 1 재료 층의 표면을 노출시키기 위해 PECVD 챔버를 플라즈마 퍼징하여, 제 1 가스 오염물질들을 생성하는 단계;
    상기 제 1 가스 오염물질들을 제거하기 위해, 상기 PECVD 챔버를 가스 퍼징하는 단계;
    제 2 프로세스 가스를 제 2 플라즈마로 에너자이징하는 단계;
    상기 제 2 플라즈마로부터 상기 제 1 재료 층 상에 제 2 재료 층을 증착하는 단계 ― 상기 제 2 재료 층은 제 2 두께를 가짐 ― ;
    상기 제 2 재료 층의 표면을 노출시키기 위해 상기 PECVD 챔버를 플라즈마 퍼징하여, 제 2 가스 오염물질들을 생성하는 단계;
    상기 제 2 가스 오염물질들을 제거하기 위해, 상기 PECVD 챔버를 가스 퍼징하는 단계; 및
    미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 상기 기판 상에 증착될 때 까지, 상기 단계들을 반복하는 단계를 포함하고,
    상기 단계들 중의 적어도 하나의 단계 동안, 기판 지지부, 상기 챔버, 또는 그 조합들의 적어도 일부는, 섭씨 약 500도 내지 섭씨 약 650도의 온도로 유지되고, 상기 제 1 재료 층 및 상기 제 2 재료 층은 실리콘 산화물 층 또는 비정질 실리콘 층이며, 그리고 상기 제 2 재료 층은 상기 제 1 재료 층과 상이한,
    스택을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 기판은, 내측 구역, 및 상기 내측 구역을 외접하는 외측 구역을 포함하며, 그리고 상기 외측 구역의 온도는, 상기 내측 구역의 온도 보다 섭씨 5도 내지 섭씨 약 20도 더 높은,
    스택을 형성하는 방법.
  11. 제 9 항에 있어서,
    상기 제 1 재료 층 및 상기 제 2 재료 층은, 섭씨 약 500도 내지 섭씨 약 650도의 온도에서 증착되거나, 또는 섭씨 약 500도 내지 섭씨 약 650도의 온도에서 순차적으로 어닐링되는,
    스택을 형성하는 방법.
  12. 제 9 항에 있어서,
    상기 제 1 재료 층은 제 1 두께를 갖고, 상기 제 2 재료 층은 제 2 두께를 가지며, 그리고 상기 제 1 두께는 상기 제 2 두께 미만인,
    스택을 형성하는 방법.
  13. 제 9 항에 있어서,
    상기 실리콘 산화물 층은 인장 응력을 갖는,
    스택을 형성하는 방법.
  14. 제 9 항에 있어서,
    상기 제 1 재료 층의 증착 이전에, 상기 기판은 실란 소크(silane soak)를 받는,
    스택을 형성하는 방법.
  15. 스택을 형성하는 방법으로서,
    제 1 프로세스 가스를 제 1 플라즈마로 에너자이징하는 단계;
    상기 제 1 플라즈마로부터 기판 상에 제 1 재료 층을 증착하는 단계 ― 상기 제 1 재료 층은 제 1 두께를 갖고, 상기 기판은 섭씨 500도 내지 섭씨 650도의 온도로 유지됨 ― ;
    상기 제 1 재료 층의 표면을 노출시키기 위해 PECVD 챔버를 플라즈마 퍼징하여, 제 1 가스 오염물질들을 생성하는 단계;
    상기 제 1 가스 오염물질들을 제거하기 위해, 상기 PECVD 챔버를 가스 퍼징하는 단계;
    제 2 프로세스 가스를 제 2 플라즈마로 에너자이징하는 단계;
    상기 제 2 플라즈마로부터 상기 제 1 재료 층 상에 제 2 재료 층을 증착하는 단계 ― 상기 제 2 재료 층은 제 2 두께를 갖고, 상기 기판은 섭씨 500도 내지 섭씨 650도의 온도로 유지되고, 상기 제 1 재료 층은 제 1 두께를 갖고, 상기 제 2 층은 제 2 두께를 가지며, 상기 제 1 두께는 상기 제 2 두께 미만임 ― ;
    상기 제 2 재료 층의 표면을 노출시키기 위해 상기 PECVD 챔버를 플라즈마 퍼징하여, 제 2 가스 오염물질들을 생성하는 단계;
    상기 제 2 가스 오염물질들을 제거하기 위해, 상기 PECVD 챔버를 가스 퍼징하는 단계; 및
    미리 결정된 개수의 제 1 재료 층들 및 제 2 재료 층들이 상기 기판 상에 증착될 때 까지, 상기 단계들을 반복하는 단계를 포함하고,
    상기 단계들 중의 적어도 하나의 단계 동안, 기판 지지부, 상기 챔버, 또는 그 조합들의 적어도 일부는, 섭씨 약 500도 내지 섭씨 약 650도의 온도로 유지되고, 상기 제 1 재료 층 및 상기 제 2 재료 층은 실리콘 산화물 층 또는 비정질 실리콘 층이며, 그리고 상기 제 2 재료 층은 상기 제 1 재료 층과 상이한,
    스택을 형성하는 방법.
KR1020167014694A 2013-11-04 2014-10-15 산화물-실리콘 스택을 위한 접착 개선들 KR20160083049A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361899735P 2013-11-04 2013-11-04
US61/899,735 2013-11-04
PCT/US2014/060647 WO2015065709A1 (en) 2013-11-04 2014-10-15 Adhesion improvements for oxide-silicon stack

Publications (1)

Publication Number Publication Date
KR20160083049A true KR20160083049A (ko) 2016-07-11

Family

ID=53004940

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167014694A KR20160083049A (ko) 2013-11-04 2014-10-15 산화물-실리콘 스택을 위한 접착 개선들

Country Status (5)

Country Link
US (1) US20160260602A1 (ko)
JP (1) JP2016539514A (ko)
KR (1) KR20160083049A (ko)
TW (1) TW201521091A (ko)
WO (1) WO2015065709A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008410B2 (en) 2016-10-25 2018-06-26 Samsung Electronics Co., Ltd. Deposition apparatus including UV annealing unit and method for fabricating non-volatile memory device by using the deposition apparatus
WO2019027738A1 (en) * 2017-08-04 2019-02-07 Micromaterials Llc ENHANCED METAL CONTACT LANDING STRUCTURE
KR20190135472A (ko) * 2017-04-27 2019-12-06 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 적용을 위한 낮은 유전율의 산화물 및 낮은 저항의 op 스택
WO2020172299A1 (en) * 2019-02-19 2020-08-27 Applied Materials, Inc. Polysilicon liners

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103887343B (zh) * 2012-12-21 2017-06-09 北京京东方光电科技有限公司 薄膜晶体管及其制作方法、阵列基板和显示装置
TWI766014B (zh) * 2017-05-11 2022-06-01 荷蘭商Asm智慧財產控股公司 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
US10490467B2 (en) * 2017-07-06 2019-11-26 Applied Materials, Inc. Methods of forming a stack of multiple deposited semiconductor layers
DE102018101700A1 (de) * 2018-01-25 2019-07-25 Osram Opto Semiconductors Gmbh Optoelektronisches Halbleiterbauelement und Verfahren zur Herstellung eines optoelektronischen Halbleiterbauelements
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TW202143328A (zh) * 2020-04-21 2021-11-16 荷蘭商Asm Ip私人控股有限公司 用於調整膜應力之方法
CN113506721A (zh) * 2021-06-25 2021-10-15 上海华虹宏力半导体制造有限公司 非晶硅薄膜形成方法
CN115020539A (zh) * 2022-05-27 2022-09-06 天津爱旭太阳能科技有限公司 一种perc电池背面结构、制备工艺及perc电池

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3978252A (en) * 1973-03-23 1976-08-31 Macdermid Incorporated Method of improving the adhesion between a molded resin substrate and a metal film deposited thereon
US6902987B1 (en) * 2000-02-16 2005-06-07 Ziptronix, Inc. Method for low temperature bonding and bonded structure
US7186663B2 (en) * 2004-03-15 2007-03-06 Sharp Laboratories Of America, Inc. High density plasma process for silicon thin films
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060172545A1 (en) * 2005-02-02 2006-08-03 Texas Instruments, Inc. Purge process conducted in the presence of a purge plasma
US7601648B2 (en) * 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7901869B2 (en) * 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
US7989360B2 (en) * 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
KR20100033091A (ko) * 2008-09-19 2010-03-29 한국전자통신연구원 화학기상증착법에 의한 비정질 실리콘 박막의 증착방법
DE102008064047A1 (de) * 2008-10-02 2010-04-08 Continental Teves Ag & Co. Ohg Sensorelement und Trägerelement zur Herstellung eines Sensors
CN102834930A (zh) * 2010-03-30 2012-12-19 应用材料公司 在扩散p型区域上方形成负电荷钝化层的方法
US20120064682A1 (en) * 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
KR101862547B1 (ko) * 2012-04-13 2018-05-31 삼성전자주식회사 폴리실리콘막 형성 방법 및 반도체 장치의 제조 방법
US8895415B1 (en) * 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10008410B2 (en) 2016-10-25 2018-06-26 Samsung Electronics Co., Ltd. Deposition apparatus including UV annealing unit and method for fabricating non-volatile memory device by using the deposition apparatus
KR20190135472A (ko) * 2017-04-27 2019-12-06 어플라이드 머티어리얼스, 인코포레이티드 3d 낸드 적용을 위한 낮은 유전율의 산화물 및 낮은 저항의 op 스택
WO2019027738A1 (en) * 2017-08-04 2019-02-07 Micromaterials Llc ENHANCED METAL CONTACT LANDING STRUCTURE
US11049695B2 (en) 2017-08-04 2021-06-29 Micromaterials Llc Metal contact landing structure
WO2020172299A1 (en) * 2019-02-19 2020-08-27 Applied Materials, Inc. Polysilicon liners
US11170990B2 (en) 2019-02-19 2021-11-09 Applied Materials, Inc. Polysilicon liners

Also Published As

Publication number Publication date
WO2015065709A1 (en) 2015-05-07
JP2016539514A (ja) 2016-12-15
US20160260602A1 (en) 2016-09-08
TW201521091A (zh) 2015-06-01

Similar Documents

Publication Publication Date Title
KR20160083049A (ko) 산화물-실리콘 스택을 위한 접착 개선들
KR101944393B1 (ko) 3d 메모리 어플리케이션을 위한 pecvd 산화물-질화물 및 산화물-실리콘 스택들
KR102281521B1 (ko) 필름 스택의 인-시츄 증착
JP7447004B2 (ja) 窒化ケイ素の薄膜のための処理方法
CN110431661B (zh) 用于用非晶硅膜对高深宽比沟槽进行间隙填充的两步工艺
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
KR101321762B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 컴퓨터 판독 가능한 기록 매체
US8895415B1 (en) Tensile stressed doped amorphous silicon
KR20190087605A (ko) SiC막의 성막 방법
TWI777069B (zh) 基板處理裝置、基板處理裝置之電極及半導體裝置之製造方法
JP5089586B2 (ja) シリコン系薄膜及びシリコン系薄膜の形成方法
US20150031216A1 (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102514466B1 (ko) 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
US8932964B2 (en) Method of forming a dielectric layer having an ONO structure using an in-situ process
WO2019039127A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP4947766B2 (ja) シリコン系薄膜の形成方法
JP2015015272A (ja) 半導体装置の製造方法及び基板処理装置
JP2009158504A (ja) 半導体製造装置および半導体装置の製造方法
JP6877290B2 (ja) 被処理体を処理する方法
US20180076030A1 (en) SiC FILM FORMING METHOD AND SiC FILM FORMING APPARATUS
US20220328292A1 (en) Remote plasma ultraviolet enhanced deposition
TWI846200B (zh) 成膜方法、半導體裝置之製造方法、成膜裝置及程式
TW202329243A (zh) 成膜方法,半導體裝置的製造方法,成膜裝置及程式
CN117165921A (zh) 一种半导体沉积腔室的加热盘预处理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application