KR20150094537A - 반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리 - Google Patents

반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리 Download PDF

Info

Publication number
KR20150094537A
KR20150094537A KR1020150019681A KR20150019681A KR20150094537A KR 20150094537 A KR20150094537 A KR 20150094537A KR 1020150019681 A KR1020150019681 A KR 1020150019681A KR 20150019681 A KR20150019681 A KR 20150019681A KR 20150094537 A KR20150094537 A KR 20150094537A
Authority
KR
South Korea
Prior art keywords
collar
plate
showerhead module
insulating sleeve
module
Prior art date
Application number
KR1020150019681A
Other languages
English (en)
Other versions
KR102382275B1 (ko
Inventor
에릭 러셀 마드센
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150094537A publication Critical patent/KR20150094537A/ko
Application granted granted Critical
Publication of KR102382275B1 publication Critical patent/KR102382275B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/143Masks therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/146By vapour deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49963Threaded fastener

Abstract

반도체 기판 프로세싱 장치는 이 장치의 샤워헤드 모듈의 수평도를 조절하기 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리를 포함한다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리는 상단 플레이트의 단차형 개구 내에서 지지되는 칼라 (collar); 칼라와 적어도 3 개의 조절가능한 볼 스크루들에 의해서 칼라 위에서 지지되는 조절기 플레이트 간에 공기기밀 시일을 형성하는 벨로우즈로서, 적어도 3 개의 조절가능한 볼 스크루들은 칼라에 대해서 조절기 플레이트의 수평도를 조절하도록 동작가능한, 벨로우즈를 포함한다. 절연성 슬리브가 칼라 내의 개구, 벨로우즈, 및 조절기 플레이트를 통해서 연장한다. 절연성 슬리브의 개구 내에서 너트 어셈블리에 의해서 샤워헤드 모듈의 스템부가 지지되며, 조절기 플레이트의 수평도 조절이 샤워헤드 모듈의 대면플레이트의 수평도를 조절하도록 스템부가 절연성 슬리브 내에서 고정되게 지지 및 정렬된다.

Description

반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리{BALL SCREW SHOWERHEAD MODULE ADJUSTER ASSEMBLY FOR SHOWERHEAD MODULE OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS}
본 발명은 반도체 기판들을 프로세싱하기 위해서 사용되는 반도체 기판 프로세싱 장치에 관한 것이며 특히 박막들의 화학적 기상 증착들을 수행하는데 있어서 그 특정 사용을 찾을 수 있다.
반도체 기판 프로세싱 장치들은 PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), PEPDL (plasma enhanced pulsed deposition layer) 프로세싱, 에칭, 및 레지스트 제거를 포함하는 기법들에 의해서 반도체 기판들을 프로세싱하는데 사용된다. 반도체 기판들을 프로세싱하는데 사용되는 일 타입의 반도체 기판 프로세싱 장치는 샤워헤드 모듈 및 반응 챔버 내에서 반도체 기판을 지지하는 기판 페데스탈 모듈을 수용하는 반응 챔버를 포함한다. 샤워헤드 모듈은 프로세스 가스를 반응 챔버 내로 전달하여서 반도체 기판이 프로세싱될 수 있다. 이러한 챔버들 내에서 샤워헤드 모듈의 설치 및 제거는 시간 소모적이며 샤워헤드 모듈의 하부 표면이 기판 페데스탈 모듈의 상부 표면과 평행하지 않으면 기판 프로세싱 동안에 추가 불균일한 막 증착이 발생할 수 있다.
반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치가 본 명세서에서 개시된다. 이 장치는 그 내에서 개별 반도체 기판들이 프로세싱되는 화학적 격리 챔버로서, 상단 플레이트가 화학적 격리 챔버의 상부 벽을 형성하는, 화학적 격리 챔버; 화학적 격리 챔버 내로 프로세스 가스를 공급하기 위해서 화학적 격리 챔버와 유체적으로 연통하는 프로세스 가스 소스; 프로세스 가스 소스로부터, 개별 반도체 기판들이 프로세싱되는 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 프로세스 가스를 전달하는 샤워헤드 모듈로서, 샤워헤드 모듈는 스템부의 하부 단부에 부착된 베이스를 포함하며, 대면플레이트를 통한 가스 통로들을 갖는 대면플레이트가 베이스의 하부 표면부를 형성하는, 샤워헤드 모듈; 반도체 기판의 프로세싱 동안에 대면플레이트 아래의 프로세싱 존 내에서 반도체 기판을 지지하도록 구성된 기판 페데스탈 모듈을 포함한다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리가 상단 플레이트 내에서 샤워헤드 모듈을 지지하며, 볼 스크루 샤워헤드 모듈 조절기 어셈블리는 대면플레이트에 인접한 기판 페데스탈 모듈의 상부 표면에 대해서 샤워헤드 모듈의 대면플레이트의 수평도 (planarization) 를 조절하도록 동작가능하다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리는, 상단 플레이트의 단차형 개구 내에서 지지되는 칼라 (collar) 로서, O-링이 칼라와 단차형 개구의 수평 상부 표면 간에 공기기밀 시일을 형성하는, 칼라; 칼라와 적어도 3 개의 조절가능한 볼 스크루들에 의해서 칼라 위에서 지지되는 조절기 플레이트 간에 공기기밀 시일을 형성하는 벨로우즈로서, 적어도 3 개의 조절가능한 볼 스크루들은 칼라에 대해서 조절기 플레이트의 수평도를 조절하도록 동작가능한, 벨로우즈; 및 칼라 내의 개구, 벨로우즈, 및 조절기 플레이트를 통해서 연장하는 절연성 슬리브로서, 절연성 슬리브의 상부 단부 상의 플랜지는 조절기 플레이트의 상부 표면 상에서 고정되게 지지되며, O-링이 플랜지와 조절기 플레이트 간에서 공기기밀 시일을 형성하는, 절연성 슬리브를 포함한다. 샤워헤드 모듈의 스템부는 너트 어셈블리에 의해서 절연성 슬리브의 단차형 개구 내에서 지지된다. 조절기 플레이트의 수평도 조절이 샤워헤드 모듈의 대면플레이트의 수평도를 조절하도록 샤워헤드 모듈의 스템부가 절연성 슬리브 내에서 고정되게 지지 및 정렬되게, 너트 어셈블리는 너트 어셈블리 아래의 스템부의 테이퍼형/코니컬 숄더 (tapered/conical shoulder) 를 절연성 슬리브의 코니컬 숄더에 대해서 누르도록 동작가능하다.
볼 스크루 샤워헤드 모듈 조절기 어셈블리가 본 명세서에서 또한 개시되며, 이 어셈블리는 반도체 기판들을 프로세싱하기 위해서 사용되는 반도체 기판 프로세싱 장치의 상단 플레이트 내에서 샤워헤드 모듈을 지지하도록 구성된다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리는 샤워헤드 모듈의 대면플레이트에 인접하게 구성된 기판 페데스탈 모듈의 상부 표면에 대해서 샤워헤드 모듈의 대면플레이트의 수평도 (planarization) 를 조절하도록 동작가능하다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리는, 상단 플레이트의 단차형 개구 내에서 지지되는 칼라 (collar) 로서, O-링이 칼라와 단차형 개구의 수평 상부 표면 간에 공기기밀 시일을 형성하는, 칼라; 칼라와 적어도 3 개의 조절가능한 볼 스크루들에 의해서 칼라 위에서 지지되는 조절기 플레이트 간에 공기기밀 시일을 형성하는 벨로우즈로서, 적어도 3 개의 조절가능한 볼 스크루들은 칼라에 대해서 조절기 플레이트의 수평도를 조절하도록 동작가능한, 벨로우즈; 및 칼라 내의 개구, 벨로우즈, 및 조절기 플레이트를 통해서 연장하는 절연성 슬리브로서, 절연성 슬리브의 상부 단부 상의 플랜지는 조절기 플레이트의 상부 표면 상에서 고정되게 지지되며, O-링이 플랜지와 조절기 플레이트 간에서 공기기밀 시일을 형성하는, 절연성 슬리브를 포함한다. 절연성 슬리브의 단차형 개구는 너트 어셈블리에 의해서 부착될 수 있는 샤워헤드 모듈의 스템부를 수용하도록 구성되며, 조절기 플레이트의 수평도 조절이 샤워헤드 모듈의 대면플레이트의 수평도를 조절하도록 샤워헤드 모듈의 스템부가 절연성 슬리브 내에서 고정되게 지지 및 정렬되게, 너트 어셈블리는 너트 어셈블리 아래의 스템부의 테이퍼형/코니컬 숄더 (tapered/conical shoulder) 를 절연성 슬리브의 코니컬 숄더에 대해서 누르도록 구성된다.
도 1은 본 명세서에서 기술된 실시예들에 따른 화학 증착 장치의 개략을 도시하는 개략도를 예시한다.
도 2는 본 명세서에서 기술된 실시예들을 구현하기 위해서 배열된 다양한 장치 컴포넌트들을 도시하는 블록도를 예시하며, 여기서 박막들의 생성 동안에 증착 및/또는 반응 종들 간의 표면 반응들을 증진시키기 위해서 플라즈마가 사용될 수 있다.
도 3은 본 명세서에서 기술된 실시예들에 따라서 배열된 샤워헤드 모듈을 예시한다.
도 4는 본 명세서에서 기술된 실시예들에 따라서 배열된 샤워헤드 모듈의 단면을 예시한다.
도 5는 본 명세서에서 기술된 실시예들에 따라서 배열된 볼 스크루 샤워헤드 모듈 조절기 어셈블리의 단면을 예시한다.
도 6은 본 명세서에서 기술된 실시예들에 따라서 배열된 너트 어셈블리의 단면을 예시한다.
다음의 상세한 설명에서, 다수의 특정 실시예들은 본 명세서에서 기술된 장치 및 방법들의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 기술 분야의 당업자에게 자명한 바와 같이, 본 실시예들은 이러한 특정 세부사항 없이도 또는 다른 요소들 또는 프로세스들을 사용하여서 실시될 수 있다. 다른 실례들에서, 잘 알려진 프로세스들, 절차 및/또는 컴포넌트들은 본 명세서에서 기술된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 세부적으로 기술되지 않았다. 수치 값과 관련하여서 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ± 10 % 을 말한다.
전술한 바와 같이, 본 실시예들은 플라즈마 강화된 화학 기상 증착과 같은 화학 기상 증착을 수행하기 위한 증착 장치들 (또는 다른 실시예에서는 에칭 장치) 과 같은 반도체 기판 프로세싱 장치들 및 이와 연관된 방법들을 제공한다. 이 장치 및 방법들은 다중 단계 증착 프로세스들 (예를 들어서, ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PECVD (plasma enhanced chemical vapor deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), 또는 PEPDL (plasma enhanced pulsed deposition layer) 프로세싱) 에서의 자가 정지형 증착 단계들의 분리를 요구하는 반도체 제조 기반 유전체 증착 프로세스 또는 금속 증착 프로세스들과 함께 사용되기에 특히 적용가능하지만, 이로 한정되는 것은 아니다. 반도체 기판들을 프로세싱하는 예시적인 실시예들은 공동으로 양도된 미국 특허 출원 번호 2013/0230987, 2013/0005140, 2013/0319329, 및 미국 특허 번호 8,580,697, 8,431,033, 및 8,557,712에서 찾을 수 있으며, 이들은 전체 내용이 본 명세서에서 참조로서 인용된다.
전술한 프로세스들은 프로세스 가스 프리커서 또는 반응물과 같은 증착된 프로세스 가스를 받는 반도체 기판 또는 웨이퍼의 상부 표면으로의 불균일한 프로세스 가스 전달과 관련된 몇몇 단점들을 겪을 수 있다. 예를 들어서, 반도체 기판의 상부 표면 상에서의 불균일한 프리커서 분포는 프로세스 가스를 반도체 기판으로 전달하는 샤워헤드 모듈의 하부 표면과 반도체 기판을 지지하는 기판 페데스탈 모듈의 상부 표면이 평행하지 않으면 형성될 수 있다. 또한, 기판 페데스탈 모듈에 대해서 샤워헤드 모듈을 설치, 제거 및 평행화시키는 동작들은 시간 소모적이며 반도체 기판 프로세싱 장치의 다운타임을 증가시킨다.
일반적으로 2 개의 주요 타입의 증착 샤워헤드가 존재한다: 샹들리에 타입 및 플러시 마운트 타입 (chandelier type, flush mount type). 샹들리에 샤워헤드 모듈들은 일 단부에서 반응 챔버의 상단 플레이트에 부착된 스템부를 가지고 타단부에서는 대면플레이트를 가지며 따라서 샹들리에를 닮았다. 스템부의 일부는 가스 라인 및 RF 전력의 접속을 실현하기 위해서 챔버 상단 플레이트로부터 돌출될 수도 있다. 플러시 마운트 샤워헤드 모듈은 챔버의 상단 내로 통합되고 스템부를 가지지 않는다. 본 명세서에서 개시된 본 실시예들은 샹들리에 타입 샤워헤드 모듈에 관한 것이다.
샤워헤드 모듈 수평화 (평행화) 는 통상적으로 장치의 반응 챔버 (화학적 격리 챔버) 를 1 회 이상 냉각 및 벤팅하는 것을 수반하는 습식 세정 절차와 함께 수행된다. 냉각 및 벤팅은 샤워헤드 모듈 및 기판 페데스탈 모듈 간의 이격거리를 조절하고 페데스탈 모듈의 상부 표면에 대한 샤워헤드의 하부 표면의 평행화를 조절하기 위해서 챔버의 내부로 접근하기 위해서 요구될 수 있다. 통상적인 기법들은 샤워헤드 모듈 및 기판 페데스탈 모듈 간의 갭을 측정하기 위해서 챔버 내에 금속성 포일 볼들 (foil balls) 을 배치하고 샤워헤드 모듈의 백킹 플레이트와 반응 챔버의 상부 플레이트 간에서의 다수의, 통상적으로 3 개 이상의 스탠드오프들 (standoffs) 을 상기 측정치에 기초하여서 조절하는 것을 수반한다. 스탠드오프들은 벤팅 챔버를 벤팅 및 냉각한 이후에 상단 플레이트를 개방함으로써 조절될 수 있다. 다수의 측정 및 조절 사이클들이 샤워헤드 모듈이 수평화 상태에 있다고 고려되기 이전에 수행될 수 있다. 샤워헤드는 외부 조작을 통해서는 수평화되지 않기 때문에, 이러한 프로세스는 매우 시간을 소모하는데 약 20 시간까지 소모할 수 있다.
본 명세서에서 개시된 본 실시예들은 이러한 문제들을 다룬다. 따라서, 볼 스크루 샤워헤드 모듈 조절기 어셈블리를 포함하는 샤워헤드 모듈은 심지어 챔버가 진공 하에서 있을 때에도 (이는 벤팅을 요구하지 않음), 반응 챔버 외부로부터 수평화되게 설계된다. 샤워헤드 모듈 및 볼 스크루 샤워헤드 모듈 조절기 어셈블리 설계는 또한 후속하여서 수평상태의 샤워헤드를 기울일 수 있는 유도된 응력들을 갖고 있는 부품들을 제거 또는 줄일 수도 있다. 따라서, 수평화 시간이 짧아질 뿐만 아니라, 수평화 간의 기간도 길어질 수 있다. 일부 경우들에서, 챔버 업타입 (uptime) 이 스케줄링된 타운타임의 기간 및 빈도를 줄임으로써 증가된다. 바람직한 실시예에서, 샤워헤드 모듈은 단일 너트를 포함하는 너트 어셈블리에 의해서 반응 챔버의 상단 플레이트에 의해서 설치 및 지지되며, 이로써 반도체 기판 프로세싱 장치로부터 샤워헤드 모듈을 설치 및 제거하는데 필요한 시간을 줄일 수 있다.
도 1은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치 (201) 의 개요를 도시하는 개략도이다. 기판 (13) 은 샤워헤드 모듈 (211) 에 대하여 상승되거나 하강될 수 있고, 또한 수직으로 이동가능할 수 있는 이동가능한 기판 페데스탈 모듈 (223) 의 상단에 놓인다. 반응 물질 가스들은 가스 라인 (203) 을 통해 챔버의 프로세싱 존 (318) 으로 도입되고, 프로세스 가스 플로우는 질량 유량 제어기 (229) 에 의해 제어된다. 장치는 사용된 반응 물질 가스들의 수에 따라 하나 이상의 가스 라인들을 갖도록 수정될 수도 있다는 것을 주의한다. 챔버는 진공 소스 (209) 에 연결된 진공 라인 (235) 을 통해 배기된다. 진공 소스는 진공 펌프일 수도 있다.
본 명세서에 개시된 실시예들은 플라즈마 강화된 화학적 증착 장치 (즉, PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 에서 빈번하게 구현된다. 도 2는 증착을 강화하기 위해 플라즈마가 사용되는, 본 명세서에 개시된 실시예들을 구현하기 위해 배열된 다양한 장치 컴포넌트들을 도시하는 간단한 블록도를 제공한다. 도시된 바와 같이, 프로세싱 존 (318) 은 기판 페데스탈 모듈 (223) 이 가열되고, 기판 페데스탈 모듈 (223) 과 함께 작동하는 샤워헤드 모듈 (211) 을 포함하는 용량 결합된 플라즈마 시스템에 의해 발생된 플라즈마를 포함하도록 기능한다. 매칭 네트워크 (206) 에 연결된 고주파수 (HF) RF 발생기 (204) 및 선택적인 저주파수 (LF) RF 발생기 (202) 중 적어도 하나와 같은, RF 소스(들) 가 샤워헤드 모듈 (211) 에 연결된다. 대안적인 실시예에서, HF 발생기 (204) 는 기판 페데스탈 모듈 (223) 에 연결된다. 매칭 네트워크 (206) 에 의해 공급된 전력 및 주파수는 프로세스 가스/기체로부터 플라즈마를 생성하기에 충분하다. HF 발생기 및 LF 발생기 양자가 사용되는 일 실시예에서, HF 발생기만 사용된다. 통상적인 프로세스에서, HF 발생기는 일반적으로 약 2 내지 100 ㎒에서 동작되고; 바람직한 실시예에서, 약 13.56 ㎒ 또는 27 ㎒에서 동작된다. LF 발생기는 일반적으로 약 50 ㎑ 내지 2 ㎒에서 동작되고; 바람직한 실시예에서, 약 350 내지 600 ㎑에서 동작된다. 프로세스 파라미터들은 챔버 체적, 기판 사이즈, 및 다른 인자들에 기초하여 스케일링될 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트는 진공 챔버 (반응 챔버) 또는 프로세싱 존의 자유 체적에 의존할 수 있다.
챔버 내에서, 기판 페데스탈 모듈 (223) 은 그 위에 박막들과 같은 재료들이 증착될 수도 있는 기판 (13) 을 지지한다. 기판 페데스탈 모듈 (223) 은 증착 및/또는 플라즈마 처리 반응들 사이에 그리고 증착 및/또는 플라즈마 처리 반응들 동안 기판을 홀딩하고 이동시키기 위한 포크 (fork) 또는 리프트 핀들 (lift pins) 을 갖는다. 일 실시예에서, 기판 (13) 은 기판 페데스탈 모듈 (223) 의 표면 상에 놓이도록 구성될 수도 있지만, 대안적인 실시예들에서, 기판 페데스탈 모듈 (223) 은 기판 페데스탈 모듈 (223) 의 표면 상에 기판 (13) 을 홀딩하기 위한 정전 척, 기계적인 척 또는 진공 척을 포함할 수도 있다. 기판 페데스탈 모듈 (223) 은 목표된 온도로 기판 (13) 을 가열하기 위한 히터 블록 (220) 에 커플링될 수 있다. 기판 (13) 은 증착되는 재료에 따라 약 25 ℃ 내지 500 ℃ 또는 그 이상의 온도로 유지된다.
특정한 실시예들에서, 증착, 증착 후 처리들, 및/또는 다른 프로세스 동작들 동안 프로세스 조건들을 제어하기 위해 시스템 제어기 (228) 가 채택된다. 시스템 제어기 (228) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들 등을 포함할 수도 있다.
특정한 실시예들에서, 시스템 제어기 (228) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (228) 는 프로세싱 동작들의 타이밍, LF 발생기 (202) 및 HF 발생기 (204) 의 동작들의 주파수 및 전력, 프리커서들 및 불활성 가스들의 플로우 레이트들 및 온도들 및 이들의 관련된 혼합, 히터 블록 (220) 및 샤워헤드 모듈 (211) 의 온도, 챔버 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 시스템 제어기 (228) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채택될 수도 있다.
통상적으로 시스템 제어기 (228) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드, 터치 스크린, 마이크로폰, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적인 컴퓨터 머신-판독가능 매체가 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 프리커서들 및 불활성 가스들의 플로우 레이트들 및 온도들, 웨이퍼의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며 사용자 인터페이스를 사용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치의 아날로그 및 디지털 출력 접속부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 및 프로그램들의 섹션들의 예들은 기판 프로세싱 단계들의 타이밍 코드, 프리커서들 및 불활성 가스들의 플로우 레이트들 및 온도들 코드, 및 챔버의 압력 코드를 포함한다.
샤워헤드 모듈 (211) 은 바람직하게는 온도 제어되고 RF 전력을 공급받는다. 온도 제어되고 RF 전력을 공급받는 샤워헤드 모듈의 예시적인 실시예는 그 전체가 본 명세서에서 참조로서 통합되고 공동으로 양도된 미국 특허 출원 번호 2013/0316094에서 찾을 수 있다.
본 명세서에서 기술된 실시예들에 따라서, 샤워헤드 모듈은 바람직하게는 샤워헤드 모듈의 평행화를 정밀하게 조절하고 샤워헤드 모듈을 반도체 기판 프로세싱 장치로/로부터의 효율적인 설치/제거를 제공하기 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리를 포함한다. 도 3에 예시된 바와 같이, 샤워헤드 모듈 (211) 은 바람직하게는 스템부 (305), 백킹 플레이트 (317) 및 대면플레이트 (316) 를 포함하는 베이스 (315) 및 샤워헤드 모듈 (211) 의 수평화를 조절하기 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리 (400) 를 포함한다. 샤워헤드 모듈 (211) 의 수평화는 샤워헤드 모듈 (211) 의 대면플레이트 (316) 의 수평화가 마찬가지로 조절될 수 있도록 샤워헤드 모듈 (211) 의 스템부 (305) 를 고정되게 지지하는 전기적으로 절연성의 슬리브 (415) 의 플랜지 (416) 의 수평화를 조절하는 볼 스크루들 (405) 을 풀거나 조임으로써 조절될 수 있다.
도 4에 예시된 바와 같이, 샤워헤드 모듈 (211) 는 바람직하게는 화학적 격리 챔버 (즉, 반응 챔버) 의 상단 플레이트 (330) 에서 지지된다. 상단 플레이트 (330) 는 바람직하게는 그 내에서 칼라 (413) 를 지지하는 단차형 개구 (331) 를 포함한다. 단차형 개구 (331) 의 수평 표면은 바람직하게는 나사산을 갖는 개구들과 같은 개구들을 가지며, 여기서 칼라 (413) 내에서 패스너들을 수용하기 위한 대응하는 단차형 개구들은 칼라 (413) 를 상단 플레이트 (330) 에 부착하는 적어도 3 개의 패스너들 (402) 을 포함한다. 칼라 (413) 는 상단 플레이트 (330) 내에서 볼 스크루 샤워헤드 모듈 조절기 어셈블리 (400) 의 나머지 부분을 지지한다. 볼 스크루 샤워헤드 모듈 조절기 어셈블리 (400) 는 상단 플레이트 (330) 에 의해서 접지되며, 절연성 슬리브 (415) 가 스템부 (305) 를 상단 플레이트 (330) 로부터 전기적으로 절연시킨다. 절연성 슬리브 (415) 는 바람직하게는 세라믹으로 형성되지만, 임의의 적합한 절연성 재료가 사용될 수 있다.
이제 도 4 및 도 5를 참조하면, O-링 (409) 은 칼라 (413) 의 하부 표면과 단차형 개구 (331) 의 수평 표면 간의 공기기밀 시일 (즉, 밀폐 시일) 을 형성한다. 벨로우즈 (411) 는 칼라 (413) 와 적어도 3 개의 조절가능한 볼 스크루들 (405) 에 의해서 칼라 (413) 위에서 지지되는 조절기 플레이트 (412) 간의 공기기밀 시일을 형성하며, 적어도 3 개의 조절가능한 볼 스크루들 (405) 은 칼라 (413) 에 대해서 조절기 플레이트 (412) 의 수평도를 조절하도록 동작가능하다. 바람직하게는, 각 볼 스크루들 (405) 의 상부 단부는 조절기 플레이트 (412) 의 하부 표면 내의 각각의 리세스 내에서 나사산 방식으로 지지되며, 여기서 각각의 볼 스크루들 (405) 의 하부 단부는 칼라 (413) 의 볼 소켓 (430) 내에서 회전가능하게 수용된다. 절연성 슬리브 (415) 는 칼라 (413) 내의 개구, 벨로우즈 (411), 및 조절기 플레이트 (412) 를 통해서 연장되며, 절연성 슬리브 (415) 의 상부 단부 상의 플랜지 (416) 는 조절기 플레이트 (412) 의 상부 표면 상에서 고정되게 지지되며, O-링 (409) 은 플랜지 (416) 와 조절기 플레이트 (412) 간에서 밀폐 시일을 형성한다. 바람직하게는, 절연성 슬리브 (415) 의 플랜지 (416) 및 조절기 플레이트 (412) 는 대응하는 개구들을 포함하며, 이 대응하는 개구들 내의 적어도 3 개의 패스너들 (420) 는 플랜지 (416) 를 조절기 플레이트 (412) 에 고정되게 지지 및 부착시킨다.
샤워헤드 모듈 (211) 의 스템부 (305) 는 너트 어셈블리 (410) 에 의해서 절연성 슬리브 (315) 의 단차형 개구 내에서 지지된다. 이제 도 4 및 도 5 뿐만 아니라 도 6을 참조하면, 너트 어셈블리 (410) 는, 샤워헤드 모듈 (211) 의 스템부 (305) 가 절연성 슬리브 (415) 내에서 고정되게 지지 및 정렬되며 이로써 플랜지 (416) 를 지지하는 조절기 플레이트 (412) 의 평행화 조절이 이로써 샤워헤드 모듈 (211) 의 대면플레이트 (316) 의 평행화를 조절하도록, 너트 어셈블리 (410) 아래의 스템부 (305) 의 테이퍼형/코니컬 숄더 (tapered/conical shoulder) (417) 를 절연성 슬리브 (415) 의 코니컬 숄더 (418) 에 대해서 누르도록, 동작가능하다. 너트 어셈블리 (410) 는 스템부 (305) 의 상부 부분을 두르는 외측 나사산들 (404) 와 체결되는 너트 (407) 및 이 너트 (407) 아래의 와셔 (406) 를 포함하며, 이 와셔는 절연성 슬리브 (415) 와 와셔 (406) 간의 시일 (408) 을 눌러서 너트 어셈블리 (410) 의 너트 (407) 가 조여질 때에 스템부 (305) 와 절연성 슬리브 (415) 간에 공기기밀 시일 (밀폐 시일) 을 형성한다. 이로써, 너트 어셈블리 (410) 는 프로세싱 동안 화학적 격리 챔버 외측에 위치한 단일 너트 (407) 의 조임 및 풀림에 의해서 샤워헤드 모듈 (211) 의 용이한 설치 및 제거를 가능하게 하며, 시일 (408) 은 화학적 격리 챔버가 진공 압력 하에서 동작할 수 있도록 공기기밀 시일을 형성한다.
바람직하게는 각각의 볼 스크루들 (405) 의 하부 단부들 (즉, 볼들) 은 칼라 (413)의 볼 소켓들 (430) 내에 피팅된다. 바람직하게는 3 개의 볼 스크루들 (405) 이 그들의 동일하거나 동일하지 않는 이격 각도로 해서 원주 방향으로 이격되어 있는데, 예를 들어서, 이러한 이격 각도들 중 2 개는 약 120 내지 165 도에 있을 수 있으며, 세 번째 각도는 약 35 내지 120 도일 수 있다. 바람직하게는, 볼 스크루들 (405) 은 약 120 도의 이격 각도만큼 균일하게 이격된다. 볼 스크루들 (405) 은 풀리거나 조여질 수 있으며 이로써 샤워헤드 모듈 (211) 의 대면플레이트 (316) 의 제자리, 경사, 피치 (in situ, tilt, pitch) (즉, 수평화) 및 상승높이를 변화시킬 수 있다. 바람직하게는 볼 스크루들 (405) 조절 (조임 또는 풀림) 은 바람직하게는 약 1/100 도보다 작게, 보다 바람직하게는 약 1/1000 도보다 작게 대면플레이트 (316) 의 수평화의 조절을 가능하게 한다.
벨로우즈 (411) 는 바람직하게는 칼라 (413) 및 조절기 플레이트 (412) 간에서 공기기밀 팽창가능한 진공 시일을 형성하며, 스템부 (305) 및 절연성 슬리브 (415) 는 공기기밀 팽창가능한 진공 시일을 통해서 연장되며 이로써 샤워헤드 모듈 (211) 의 수평도는 공기기밀 팽창가능한 진공 시일을 파괴하지 않으면서 변할 수 있다. 벨로우즈 (411) 는 바람직하게는 그 상부 단부가 조절기 플레이트 (412) 에 그리고 그 하부 단부가 칼라 (413) 에 용접된다.
바람직하게는, 시스템 제어기 (228) 가 대면플레이트 (316) 와 기판 페데스탈 모듈 (223) 의 상부 표면 간의 갭 높이 및 기판 페데스탈 모듈 (223) 의 상부 표면에 대한 대면플레이트 (316) 의 수평성을 측정하기 위한 적어도 하나의 인-시츄 센서 (검출기) 에 전자적으로 접속되며, 이로써 갭 제어 및 수평도 제어가 피드백 제어 모드로 수행될 수 있다. 다양한 타입의 인-시츄 검출기들, 예를 들어서, 레이저 간섭계, 유도성, 용량성, 음향적, LDVT (linear variable differential transformer) 센서들이 갭 및 수평도 센서로서 사용될 수 있으며, 이 센서는 화학적 격리 챔버 외측 또는 내측에 위치할 수 있다. 바람직하게는, 센서는 MN, Minneapolis의 CyberOptics Semiconductor로부터 입수가능한 웨이퍼 AGS (auto gapping system) 와 같은 웨이퍼 AGS와 같은 무선 갭 측정 디바이스이다.
배플 배열을 포함하는 반도체 기판 프로세싱 장치가 이의 특정 실시예들을 참조하여서 세부적으로 기술되었지만, 첨부된 청구항들의 범위 내에서 다양한 수정 및 변경이 가능하고 이의 균등사항들이 채용될 수 있다는 것은 본 기술 분야의 당업자에게 명백할 것이다.

Claims (20)

  1. 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치로서,
    개별 반도체 기판들이 프로세싱되는 화학적 격리 챔버로서, 상단 플레이트가 상기 화학적 격리 챔버의 상부 벽을 형성하는, 상기 화학적 격리 챔버;
    상기 화학적 격리 챔버 내로 프로세스 가스를 공급하기 위해서 상기 화학적 격리 챔버와 유체적으로 연통하는 프로세스 가스 소스;
    상기 프로세스 가스 소스로부터, 상기 개별 반도체 기판들이 프로세싱되는 상기 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 상기 프로세스 가스를 전달하는 샤워헤드 모듈로서, 상기 샤워헤드 모듈은 스템부의 하부 단부에 부착된 베이스를 포함하며, 대면플레이트를 통한 가스 통로들을 갖는 상기 대면플레이트가 상기 베이스의 하부 표면부를 형성하는, 상기 샤워헤드 모듈;
    상기 반도체 기판의 프로세싱 동안에 상기 대면플레이트 아래의 상기 프로세싱 존 내에서 상기 반도체 기판을 지지하도록 구성된 기판 페데스탈 모듈; 및
    상기 상단 플레이트 내에서 상기 샤워헤드 모듈을 지지하는 볼 스크루 샤워헤드 모듈 조절기 어셈블리를 포함하며,
    상기 볼 스크루 샤워헤드 모듈 조절기 어셈블리는 상기 대면플레이트에 인접한 상기 기판 페데스탈 모듈의 상부 표면에 대해서 상기 샤워헤드 모듈의 상기 대면플레이트의 수평도 (planarization) 를 조절하도록 동작가능하며,
    상기 볼 스크루 샤워헤드 모듈 조절기 어셈블리는,
    상기 상단 플레이트의 단차형 개구 내에서 지지되는 칼라 (collar) 로서, O-링이 상기 칼라의 하부 표면과 상기 단차형 개구의 수평 상부 표면 간에 공기기밀 시일을 형성하는, 상기 칼라;
    상기 칼라와 적어도 3 개의 조절가능한 볼 스크루들에 의해서 상기 칼라 위에서 지지되는 조절기 플레이트 간에 공기기밀 시일을 형성하는 벨로우즈로서, 상기 적어도 3 개의 조절가능한 볼 스크루들은 상기 칼라에 대해서 상기 조절기 플레이트의 수평도를 조절하도록 동작가능한, 상기 벨로우즈; 및
    상기 칼라 내의 개구, 상기 벨로우즈, 및 상기 조절기 플레이트를 통해서 연장하는 절연성 슬리브로서, 상기 절연성 슬리브의 상부 단부 상의 플랜지는 상기 조절기 플레이트의 상부 표면 상에서 고정되게 지지되며, O-링이 상기 플랜지와 상기 조절기 플레이트 간에서 공기기밀 시일을 형성하는, 상기 절연성 슬리브를 포함하며,
    상기 샤워헤드 모듈의 상기 스템부는 너트 어셈블리에 의해서 상기 절연성 슬리브의 단차형 개구 내에서 지지되며,
    상기 조절기 플레이트의 수평도 조절이 상기 샤워헤드 모듈의 상기 대면플레이트의 수평도를 조절하도록 상기 샤워헤드 모듈의 상기 스템부가 상기 절연성 슬리브 내에서 고정되게 지지 및 정렬되게, 상기 너트 어셈블리는 상기 너트 어셈블리 아래의 상기 스템부의 테이퍼형/코니컬 숄더 (tapered/conical shoulder) 를 상기 절연성 슬리브의 코니컬 숄더에 대해서 누르도록 동작가능한, 반도체 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 너트 어셈블리는 상기 스템부의 상부 부분을 두르는 외측 나사산들과 체결되는 너트 및 상기 너트 아래의 와셔를 포함하며,
    상기 너트 어셈블리의 상기 너트가 조여질 때에 상기 스템부와 상기 절연성 슬리브 간에 공기기밀 시일을 형성하도록 상기 와셔는 상기 절연성 슬리브와 상기 와셔 간의 시일을 누르는, 반도체 기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 볼 스크루 샤워헤드 모듈 조절기 어셈블리는 상기 상단 플레이트에 의해서 접지되며,
    상기 절연성 슬리브는 상기 스템부를 상기 상단 플레이트로부터 전기적으로 절연시키는, 반도체 기판 프로세싱 장치.
  4. 제 1 항에 있어서,
    상기 칼라는 단차형 개구들을 포함하며,
    상기 단차형 개구들 내의 패스너들이 상기 칼라를 상기 상단 플레이트에 부착시키는, 반도체 기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 절연성 슬리브의 상기 플랜지 및 상기 조절기 플레이트는 대응하는 개구들을 포함하며,
    상기 대응하는 개구들 내의 패스너들은 상기 절연성 슬리브의 상기 플랜지를 상기 조절기 플레이트에 부착시키는, 반도체 기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    상기 벨로우즈는 상부 단부가 상기 조절기 플레이트에 용접되고 하부 단부가 상기 칼라에 용접되는, 반도체 기판 프로세싱 장치.
  7. 제 1 항에 있어서,
    각 볼 스크루의 상부 단부는 상기 조절기 플레이트 내에서 나사산 방식으로 (threadedly) 지지되며,
    각 볼 스크루의 하부 단부는 상기 칼라의 각각의 볼 소켓 내에서 회전가능하게 수용되는, 반도체 기판 프로세싱 장치.
  8. 반도체 기판들을 프로세싱하기 위해서 사용되는 반도체 기판 프로세싱 장치의 상단 플레이트 내에서 샤워헤드 모듈을 지지하도록 구성되고, 상기 샤워헤드 모듈의 대면플레이트에 인접하게 구성된 기판 페데스탈 모듈의 상부 표면에 대해서 상기 샤워헤드 모듈의 상기 대면플레이트의 수평도 (planarization) 를 조절하도록 동작가능한, 볼 스크루 샤워헤드 모듈 조절기 어셈블리로서,
    상기 상단 플레이트의 단차형 개구 내에서 지지되는 칼라 (collar) 로서, O-링이 상기 칼라의 하부 표면과 상기 단차형 개구의 수평 상부 표면 간에 공기기밀 시일을 형성하는, 상기 칼라;
    상기 칼라와 적어도 3 개의 조절가능한 볼 스크루들에 의해서 상기 칼라 위에서 지지되는 조절기 플레이트 간에 공기기밀 시일을 형성하는 벨로우즈로서, 상기 적어도 3 개의 조절가능한 볼 스크루들은 상기 칼라에 대해서 상기 조절기 플레이트의 수평도를 조절하도록 동작가능한, 상기 벨로우즈; 및
    상기 칼라 내의 개구, 상기 벨로우즈, 및 상기 조절기 플레이트를 통해서 연장하는 절연성 슬리브로서, 상기 절연성 슬리브의 상부 단부 상의 플랜지는 상기 조절기 플레이트의 상부 표면 상에서 고정되게 지지되며, O-링이 상기 플랜지와 상기 조절기 플레이트 간에서 공기기밀 시일을 형성하는, 상기 절연성 슬리브를 포함하며,
    상기 절연성 슬리브의 단차형 개구는 너트 어셈블리에 의해서 부착될 수 있는 상기 샤워헤드 모듈의 스템부를 수용하도록 구성되며,
    상기 조절기 플레이트의 수평도 조절이 상기 샤워헤드 모듈의 상기 대면플레이트의 수평도를 조절하도록 상기 샤워헤드 모듈의 상기 스템부가 상기 절연성 슬리브 내에서 고정되게 지지 및 정렬되게, 상기 너트 어셈블리는 상기 너트 어셈블리 아래의 상기 스템부의 테이퍼형/코니컬 숄더 (tapered/conical shoulder) 를 상기 절연성 슬리브의 코니컬 숄더에 대해서 누르도록 구성된, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  9. 제 8 항에 있어서,
    상기 칼라는 상기 칼라를 상기 상단 플레이트에 부착시키는 패스너들을 수용하도록 구성된 단차형 개구들을 포함하는, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  10. 제 8 항에 있어서,
    상기 절연성 슬리브의 상기 플랜지 및 상기 조절기 플레이트는 대응하는 개구들을 포함하며,
    상기 대응하는 개구들 내의 패스너들은 상기 절연성 슬리브의 상기 플랜지를 상기 조절기 플레이트에 부착시키는, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  11. 제 8 항에 있어서,
    각 볼 스크루의 상부 단부는 상기 조절기 플레이트 내에서 나사산 방식으로 (threadedly) 지지되며,
    각 볼 스크루의 하부 단부는 상기 칼라의 각각의 볼 소켓 내에서 회전가능하게 수용되는, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  12. 제 8 항에 있어서,
    상기 너트 어셈블리는 상기 스템부의 상부 부분을 두르는 외측 나사산들과 체결되도록 구성된 너트 및 상기 너트 아래의 와셔를 포함하며,
    상기 너트 어셈블리의 상기 너트가 조여질 때에 상기 스템부와 상기 절연성 슬리브 간에 공기기밀 시일을 형성하도록 상기 와셔는 상기 절연성 슬리브와 상기 와셔 간의 시일을 누르도록 구성된, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  13. 제 8 항에 있어서,
    상기 벨로우즈는 상부 단부가 상기 조절기 플레이트에 용접되고 하부 단부가 상기 칼라에 용접되는, 볼 스크루 샤워헤드 모듈 조절기 어셈블리.
  14. 제 8 항에 기재된 볼 스크루 샤워헤드 모듈 조절기 어셈블리를 포함하는 샤워헤드 모듈로서,
    상기 샤워헤드 모듈은 프로세스 가스 소스로부터, 개별 반도체 기판들이 프로세싱되는 상기 반도체 기판 프로세싱 장치의 프로세싱 존 (zone) 으로 프로세스 가스를 전달하도록 구성되며,
    상기 샤워헤드 모듈은 상기 스템부의 하부 단부에 부착된 베이스를 포함하며,
    대면플레이트를 통한 가스 통로들을 갖는 상기 대면플레이트가 상기 베이스의 하부 표면부를 형성하는, 샤워헤드 모듈.
  15. 제 1 항에 기재된 반도체 기판 프로세싱 장치 내에 샤워헤드 모듈을 설치하는 방법으로서,
    상기 반도체 기판 프로세싱 장치의 상기 상단 플레이트의 상기 단차형 개구 내에서 상기 칼라를 지지하는 단계로서, 상기 조절기 플레이트는 상기 적어도 3 개의 볼 스크루들에 의해서 상기 칼라 위에서 지지되며 상기 벨로우즈들은 상기 칼라와 상기 조절기 플레이트 간에 공기기밀 시일을 형성하는, 상기 칼라를 지지하는 단계;
    상기 칼라, 상기 벨로우즈, 및 상기 조절기 플레이트 내에서 상기 절연성 슬리브를 지지하는 단계로서, 상기 절연성 슬리브의 플랜지는 상기 조절기 플레이트의 상부 표면 상에서 지지되는, 상기 절연성 슬리브를 지지하는 단계; 및
    상기 샤워헤드 모듈의 상기 스템부를 상기 절연성 슬리브를 통해서 삽입하고 상기 스템부의 외측 나사산을 따라서 상기 너트 어셈블리의 너트를 조이며 이로써 상기 스템부를 둘러싸고 상기 외측 나사산 아래에 있는 테이퍼형/코니컬 숄더를 상기 절연성 슬리브의 코니컬 숄더에 대해서 누르는 단계를 포함하는, 샤워헤드 모듈 설치 방법.
  16. 제 15 항에 있어서,
    상기 조절가능한 볼 스크루들 중 적어도 하나의 볼 스크루를 풀거나 조임으로써 상기 기판 페데스탈 모듈의 상부 표면에 대한 상기 대면플레이트의 수평도 및 갭 높이를 조절하는 단계를 더 포함하는, 샤워헤드 모듈 설치 방법.
  17. 제 15 항에 있어서,
    상기 기판 페데스탈 모듈의 상부 표면에 대한 상기 대면플레이트의 수평도 및 갭 높이를 인-시츄 검출기로 측정하는 단계를 더 포함하며,
    상기 인-시츄 검출기는 레이저 간섭계, 유도성 센서, 용량성 센서, 음향 센서, LDVT (linear variable differential transformer) 센서, 및 웨이퍼 AGS (auto gapping system) 으로 구성된 그룹으로부터 선택되는, 샤워헤드 모듈 설치 방법.
  18. 제 16 항에 있어서,
    상기 대면플레이트의 수평도 조절은,
    (a) 약 1/100 도보다 작게,
    (b) 약 1/1000 도보다 작게 되는, 샤워헤드 모듈 설치 방법.
  19. 제 1 항에 기재된 반도체 기판 프로세싱 장치 내에서 반도체 기판을 프로세싱하는 방법으로서,
    상기 기판 페데스탈 모듈의 상부 표면에 대한 상기 대면플레이트의 수평도 및 갭 높이를 조절하는 단계;
    상기 프로세스 가스 소스로부터 상기 프로세스 가스를 상기 샤워헤드 모듈을 통해서 상기 프로세싱 존 내로 공급하는 단계; 및
    상기 프로세싱 존 내에서 반도체 기판을 프로세싱하는 단계를 포함하는, 반도체 기판 프로세싱 방법.
  20. 제 19 항에 있어서,
    상기 프로세싱은 CVD (chemical vapor deposition), PECVD (plasma enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma enhanced atomic layer deposition), PDL (pulsed deposition layer), MLD (molecular layer deposition), 에칭, 레지스트 제거 및/또는 PEPDL (plasma enhanced pulsed deposition layer) 중 적어도 하나인, 반도체 기판 프로세싱 방법.
KR1020150019681A 2014-02-11 2015-02-09 반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리 KR102382275B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/177,879 US9290843B2 (en) 2014-02-11 2014-02-11 Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US14/177,879 2014-02-11

Publications (2)

Publication Number Publication Date
KR20150094537A true KR20150094537A (ko) 2015-08-19
KR102382275B1 KR102382275B1 (ko) 2022-04-01

Family

ID=53774443

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150019681A KR102382275B1 (ko) 2014-02-11 2015-02-09 반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리

Country Status (4)

Country Link
US (1) US9290843B2 (ko)
KR (1) KR102382275B1 (ko)
CN (1) CN104862672B (ko)
TW (1) TWI658872B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180071120A (ko) * 2016-12-19 2018-06-27 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190038273A (ko) * 2017-09-29 2019-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 조절식 가스 주입기를 구비한 집적 회로 제조 시스템
WO2021201478A1 (ko) * 2020-03-31 2021-10-07 주식회사 에프에스 웨이퍼 처리 장치 및 이의 처리방법

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
JP2018528616A (ja) 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
CN105870040B (zh) 2016-04-05 2018-09-04 京东方科技集团股份有限公司 一种喷嘴和刻蚀装置
US10483092B2 (en) 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
JP6880076B2 (ja) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板距離の監視
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
DE102017126448A1 (de) 2017-11-10 2019-05-16 Aixtron Se Vorrichtung und Verfahren zur Nachjustierung eines Gaseinlassorgans in einem Reaktorgehäuse
CN108330468B (zh) * 2018-03-14 2023-06-30 深圳市志橙半导体材料有限公司 一种化学气相沉积炉的基体支撑装置及基体旋转驱动装置
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070018087A (ko) * 2004-06-03 2007-02-13 동경 엘렉트론 주식회사 플라즈마 cⅴd 장치
JP2010517297A (ja) * 2007-01-26 2010-05-20 ラム リサーチ コーポレーション ギャップコントロール機能を有するべベルエッチャー

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (ko) * 1962-03-15
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
KR970005686B1 (ko) * 1994-04-28 1997-04-18 한국베리안 주식회사 박막열처리 장치
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
JP3270428B2 (ja) * 1999-07-28 2002-04-02 東芝機械株式会社 電動式射出成形機の旋回装置
US20050208774A1 (en) * 2004-01-08 2005-09-22 Akira Fukunaga Wet processing method and processing apparatus of substrate
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
KR100666574B1 (ko) * 2005-01-31 2007-01-09 삼성에스디아이 주식회사 증발원
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8033001B2 (en) 2007-12-31 2011-10-11 Texas Instruments Incorporated CVD showerhead alignment apparatus
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR20090130559A (ko) * 2008-06-16 2009-12-24 삼성모바일디스플레이주식회사 이송 장치 및 이를 구비하는 유기물 증착 장치
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
CN201962357U (zh) * 2010-12-27 2011-09-07 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
CN203255370U (zh) * 2013-04-25 2013-10-30 无锡市培力机械制造有限公司 一种生产纺织橡胶皮辊及皮圈的双头包膜机
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070018087A (ko) * 2004-06-03 2007-02-13 동경 엘렉트론 주식회사 플라즈마 cⅴd 장치
JP2010517297A (ja) * 2007-01-26 2010-05-20 ラム リサーチ コーポレーション ギャップコントロール機能を有するべベルエッチャー

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180071120A (ko) * 2016-12-19 2018-06-27 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
KR20190038273A (ko) * 2017-09-29 2019-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 조절식 가스 주입기를 구비한 집적 회로 제조 시스템
US11043388B2 (en) 2017-09-29 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector and method utilizing the same
US11670490B2 (en) 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
WO2021201478A1 (ko) * 2020-03-31 2021-10-07 주식회사 에프에스 웨이퍼 처리 장치 및 이의 처리방법
KR20210121906A (ko) * 2020-03-31 2021-10-08 주식회사 에프에스 웨이퍼 처리 장치 및 이의 처리방법

Also Published As

Publication number Publication date
TWI658872B (zh) 2019-05-11
KR102382275B1 (ko) 2022-04-01
CN104862672A (zh) 2015-08-26
US20150225854A1 (en) 2015-08-13
US9290843B2 (en) 2016-03-22
CN104862672B (zh) 2019-02-01
TW201600177A (zh) 2016-01-01

Similar Documents

Publication Publication Date Title
KR102382275B1 (ko) 반도체 기판 프로세싱 장치의 샤워헤드 모듈을 위한 볼 스크루 샤워헤드 모듈 조절기 어셈블리
JP7199414B2 (ja) シャワーヘッドチルト機構
KR102653444B1 (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
US10253412B2 (en) Deposition apparatus including edge plenum showerhead assembly
KR20220148149A (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
TWI719990B (zh) 基於改善邊緣膜厚均勻性之目的之電漿限制與晶圓邊緣的分離
US20150167168A1 (en) Semiconductor substrate processing apparatus including uniformity baffles
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
US20180233326A1 (en) Temperature Controlled Spacer For Use In A Substrate Processing Chamber
TWI819271B (zh) 用於電漿腔室條件監測的電容感測資料整合的電漿腔室監測系統
TW202201460A (zh) 用於電漿腔室條件監測的電容感測器及電容感測位置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant