TWI658872B - 用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件 - Google Patents

用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件 Download PDF

Info

Publication number
TWI658872B
TWI658872B TW104104310A TW104104310A TWI658872B TW I658872 B TWI658872 B TW I658872B TW 104104310 A TW104104310 A TW 104104310A TW 104104310 A TW104104310 A TW 104104310A TW I658872 B TWI658872 B TW I658872B
Authority
TW
Taiwan
Prior art keywords
module
adjuster
collar
semiconductor substrate
ball screw
Prior art date
Application number
TW104104310A
Other languages
English (en)
Other versions
TW201600177A (zh
Inventor
艾瑞克 羅素 馬德森
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201600177A publication Critical patent/TW201600177A/zh
Application granted granted Critical
Publication of TWI658872B publication Critical patent/TWI658872B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/143Masks therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/146By vapour deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49963Threaded fastener

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種半導體基板處理設備,包含一滾珠螺桿噴淋頭模組調整器組件,用於調整該設備之噴淋頭模組的平面化。該滾珠螺桿噴淋頭模組調整器組件包含:一支撐在頂部板的階梯形開口中的套環;一伸縮軟件,於該套環與一調整器板之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿可操作用以,相對該套環調整該調整器板之平面化。一絕緣軸套延伸經過該套環之開口、該伸縮軟件,以及該調整器板。該噴淋頭模組之一桿藉由螺帽組件支撐於該絕緣軸套之開口內,如此該噴淋頭模組之桿被支撐並對準於該絕緣軸套內,俾經由調整器板之平面化的調整而調整該噴淋頭模組之面板的平面化。

Description

用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件
本發明係關於用於處理半導體基板之半導體基板處理設備,且特別有用於執行薄膜的化學氣相沉積。
當使用半導體基板處理設備在處理半導體基板時,使用的技術包括:物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、脈衝式沉積層(PDL)、分子層沉積(MLD)、電漿增強脈衝沉積層(PEPDL)處理、蝕刻、以及光阻去除。一種用於處理半導體基板的半導體基板處理設備的類型,包含一具有噴淋頭模組的反應室,以及一將該半導體基板支撐在反應室中的基板支座模組。該噴淋頭模組將處理氣體運送至該反應室中,以處理該半導體基板。在此種腔室中,噴淋頭模組的安裝與移除可能非常耗時,且此外在基板處理過程中若噴淋頭模組之下表面沒有平行於基板支座模組之上表面,可能產生非均質的薄膜沉積。
本發明揭露一用於處理半導體基板之半導體基板處理設備。該基板處理設備包含一化學分離室,個別的半導體基板在其中被處理,其中一頂部板形成該化學分離室的上壁;一與該化學分離室流體連通的處理氣體來源,用於提供處理氣體到該化學分離室;一噴淋頭模組,將該處理氣體從該處理氣體來源運送到該處理設備的處理區,在其中該個別的半導體基板被處理,其中該噴淋頭模組包含一連接於一桿之底端的基座,其中一包含有氣體通道通過其中的面板形成該基座的下表面;以及一基板支座模組,建構成在處理基板期間,將該半導體基板支撐在該面板下的處理區。一滾珠螺桿噴淋頭模組調整器組件支撐該噴淋頭模組於該頂部板,其中該滾珠螺桿噴淋頭模組調整器組件可操作用以相對於相鄰該噴淋頭模組之面板的基板支座模組之上表面,調整該噴淋頭模組之面板的平面化。該滾珠螺桿噴淋頭模組調整器組件包含:一支撐於該頂部板的階梯形開口中的套環,其中一O形環在該套環之下表面與該階梯形開口之水平上表面之間形成氣密封閉;一伸縮軟件,在該套環與一調整器板之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿可操作用以,相對該套環調整該調整器板之平面化;以及一絕緣軸套,該絕緣軸套延伸經過該套環之開口、該伸縮軟件,以及該調整器板,其中一位於該絕緣軸套上端之凸緣區,被固定地支撐在該調整器板之上表面,而一O型環形成氣密封閉於兩者間。該噴淋頭模組之桿,藉由螺帽組件而支撐在該絕緣軸套之階梯形開口中。該螺帽組件可操作用以,壓縮該桿的一錐形/圓錐形肩部於該螺帽組件之下,並靠著該絕緣軸套之一圓錐形肩部,如此該噴淋頭模組之桿被固定地支撐並對準於該絕緣軸套內,俾經由調整器板之平面化的調整而調整該噴淋頭模組之面板的平面化。
本發明另外揭露一種建構以支撐一噴淋頭模組於用於處理半導體基板的半導體基板處理設備之頂部板中的滾珠螺桿噴淋頭模組調整器組件。該滾珠螺桿噴淋頭模組調整器組件可操作用以,相對配置於該噴淋頭模組之面板相鄰位置的基板支座模組之上表面,調整該噴淋頭模組之面板的平面化。該滾珠螺桿噴淋頭模組調整器組件包含:一建構以支撐在該頂部板的階梯形開口中的套環,其中一O形環在該套環之下表面與該階梯形開口之水平上表面之間形成氣密封閉;一伸縮軟件,在該套環與一調整器板之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿可操作用以,相對該套環調整該調整器板之平面化;以及一絕緣軸套,延伸經過該套環之開口、該伸縮軟件,以及該調整器板,其中一位於該絕緣軸套之上端之凸緣區被固定地支撐在該調整器板之上表面,而一O型環形成氣密封閉於兩者間。一噴淋頭模組之桿建構以藉由螺帽組件支撐於該絕緣軸套之階梯形開口中,該螺帽組件建構以壓縮該桿的一錐形/圓錐形肩部於該螺帽組件之下,並靠著該絕緣軸套之一圓錐形肩部,如此該噴淋頭模組之桿被固定地支撐且對準於該絕緣軸套內,俾經由調整器板之平面化的調整而調整該噴淋頭模組之面板的平面化。
在接下來的細節描述中,將闡述許多具體的實施例,以提供揭露於此的設備與方法之全面性的理解。然而很明顯的是,對於該發明所屬技術領域具有通常知識者而言,毋須該等具體的細節,或者使用替代的元件或方法,而能實施該等實施例。在其他例子中,為了避免不必要地混淆揭露於此之實施例,熟知的方法、步驟及/或元件沒有詳細地敘述。用於本發明中與數值連接的詞彙「大約」,係意指正負百分之10。
如前所述,該實施例提供半導體基板處理設備,例如沉積設備(或者在一替代實施例中的蝕刻設備),以及用於達成化學氣相沉積(例如電漿增強化學氣相沉積)之相關方法。該設備及方法特別可以應用在與半導體加工為基礎的介電質沉積製程或金屬沉積製程相關聯的使用,該等沉積製程需要在多步驟沉積製程中隔離自我限制的沉積步驟(該多步驟沉積製程如原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、電漿增強化學氣相沉積(PECVD)、脈衝式沉積層(PDL)、分子層沉積(MLD),或電漿增強脈衝沉積層(PEPDL)處理),然而該設備及方法並沒有如此被限制在該等應用上。處理半導體基板的方法之例示性實施例可見於共同受讓之美國專利申請案第2013/0230987號、第2013/0005140號、第2013/0319329號;以及美國專利第8580697號、第8431033號、第8557712號,以上之案件在本發明中以全文加入參考資料。
上述製程可能面臨一些與處理氣體不均勻地傳送到晶圓或半導體基板之上表面有關的缺點,該晶圓或半導體基板接收沉積的處理氣體(如處理氣體的前驅物或反應物)。舉例來說,若一傳送處理氣體到該半導體基板的噴淋頭模組之下表面,未平行於支撐該半導體基板的基板支座模組之上表面,可能在該半導體基板的上表面產生非均質的前驅物散佈。更甚者,噴淋頭模組安裝、移除以及相對於該基板支座模組而平面化的操作,可能相當耗時,且可能增加該半導體基板處理設備的停工時間。
大致上,有兩種CVD噴淋頭模組的型式:枝型燈架型(chandelier type)和平齊安裝型(flush mount type)。枝形燈架型噴淋頭模組包含一桿,該桿之一端連接於反應室之頂部板,另一端連接於面板,組成一枝形燈架。該桿的一部份會凸出頂部板,以達成氣體管線和RF電源之連接。平齊安裝型噴淋頭模組整合於反應室之頂部中,且不包含桿。揭露於此之實施例係關於一枝形燈架型噴淋頭模組。
典型地,噴淋頭模組的水平校準(平面化)係利用濕清潔程序而執行,該程序包含一次或多次地冷卻、排氣該設備的反應室(化學分離室)。該冷卻、排氣可能需要進入該腔室的內部,以調整該噴淋頭與該基板支座模組的間距,以及噴淋頭之下表面相對於基板支座模組之上表面的平面化。傳統技術包含:放置一金屬薄膜球於該室中,以測量該噴淋頭模組和該基板支座模組之間距;根據測量值,調整該噴淋頭模組之背板與該反應室之頂部板間的間距器之數目,其通常為三或更多。該間距器只能在排氣、冷卻該室後,打開該頂部板才能調整。在該噴淋頭模組被認為係水平的之前,需要執行多次測量與調整的循環。因為該噴淋頭不能通過外部操作而水平校準,所以該方法可能非常耗時,多達約20小時。
揭露於此之實施例正視這些問題。於是,包含一滾珠螺桿噴淋頭模組調整器組件的噴淋頭模組,被設計成可以從反應室的外部去水平校準,即使該反應室是真空狀態(無須排氣)。該噴淋頭模組與該滾珠螺桿噴淋頭模組調整器組件的設計,也能減少或消除保留所產生應力的部分,其之後可能使已水平校準的噴淋頭傾斜。因此,不只縮短水平校準時間,亦延長水平校準間的持續期間。在一些例子中,可藉由縮短週期性停工時間的持續期間與頻率,來延長該腔室的工作時間。在一較佳實施例中,該噴淋頭模組藉由包含單獨螺帽的螺帽組件,被安裝並且被支撐於該反應室之頂部板,因此縮短安裝以及從半導體基板處理設備移除噴淋頭模組所需要的時間。
圖1係一示意圖,根據揭露於此的實施例呈現用於化學氣相沉積的半導體基板處理設備201之概觀。一半導體基板13(例如晶圓)坐落在一可移動支座模組223上,該支座模組223可以相對於噴淋頭模組211上升或下降,該噴淋頭模組211同樣也可以垂直地移動。反應材料的氣體會經由氣體管線203送進該腔室之處理區318,其中該處理氣流被一質流控制器229控制。注意該設備可以被修改為具有一或更多的氣體管線,依使用的反應物氣體之數量而定。該腔室被連接到真空來源209的真空管線235抽真空。該真空來源可係真空幫浦。
揭露於此的實施例可於電漿增強化學沉積設備中實現 (即PECVD 設備、PEALD 設備或PEPDL設備)。圖2提供一簡化方塊圖,描述許多為了執行揭露於此的實施例所設置的設備元件,其中利用電漿以增強沉積。如圖所示,反應區318用於容納由電容性耦合電漿系統所產生之電漿,該電容性耦合電漿系統包含和基板支座模組223一起作用的噴淋頭模組211,其中該基板支座模組223被加熱。射頻(RF)來源(例如至少一連接匹配網路206之高頻(HF) 射頻產生器204以及一選擇性的低頻(LF)射頻產生器202)連接到該噴淋頭模組211。在一替代實施例中,該HF產生器204被連結到該基板支座模組223。由匹配網路206提供的功率及頻率足夠從該處理氣體/蒸氣產生電漿。在一實施例中,使用該HF產生器和該LF產生器兩者,而在一替代實施例中,僅使用該HF產生器。在一典型的製程中,HF產生器運作於頻率約2到100 MHz下;在一較佳實施例中則運作於13.56 MHz 或 27 MHz下。該LF產生器運作於頻率約50 kHz 到 2 MHz下; 在一較佳實施例中則運作於頻率約350 到 600 kHz下。該製程參數可能因該反應室之體積、基板之大小,以及其他因素而縮放。相似地,該處理氣體的流速視該真空腔室(反應腔室)或處理區之自由體積而定。
在該腔室中,該基板支座模組223支撐基板13,如薄膜之材料係沉積在該基板13上。該基板支座模組223包含一叉狀物或升降銷,用以在沉積反應及/或電漿處理反應期間和之間,保持與移動該基板。在一實施例中,該基板13建構以置放於該基板支座模組223之表面,但在一替代實施例中,該基板支座模組223包含一靜電夾具、一機械夾具或一真空吸盤,用以保持該基板13在基板支座模組223之表面上。該基板支座模組223能連接一加熱器組件220,用以加熱基板13到期望的溫度。基板13維持在約25℃ 到 500℃或者更高的溫度,視該被沉積的材料而定。
在特定實施例中,一系統控制器228被用於在沉積作用、沉積後處理,及/或其他製程操作時,控制製程條件。典型地,該系統控制器228包含一或更多記憶元件以及一或更多處理元件。該處理元件包含一CPU或電腦、類比及/或數位的輸入/輸出接頭、步進馬達控制板等。
在某些實施例中,該系統控制器228控制所有設備的活動。該系統控制器228執行包含一系列指令的系統控制軟體,以控制處理作業的時序、LF產生器202與HF產生器204之運作的頻率及功率、前驅物與惰性氣體的流速、溫度、與其相關的混合、加熱器組件220和噴淋頭模組211的溫度、反應腔室的壓力,以及其他特定製程的參數。可在若干實施例中使用其他儲存於和控制器相關的記憶元件上的電腦程式。
典型地,有一和系統控制器228連接的使用者介面。該使用者介面包含一顯示螢幕、該設備及/或製程條件的圖示軟體顯示器,以及使用者輸入設備(例如指標裝置、鍵盤、觸控螢幕、麥克風等。)
一非暫態的電腦可讀取媒體,包含用以控制設備的程序指令。用以控制製程操作的電腦程式碼可用任何習用之電腦可讀程式語言寫入,例如組合語言、C、C++、巴斯卡程式語言、福傳程式語言或其他。編成的目的碼或稿件被該處理元件執行,以實現在該程式中所識別的任務。
該控制器參數與製程條件相關,例如處理步驟的時序、前驅物和惰性氣體的流速和溫度、晶圓的溫度、反應腔室的壓力,以及其他特定製程的參數。這些參數以一配方的形式提供給使用者,且可用使用者介面而輸入。
用於監控製程的信號由該系統控制器之類比及/或數位輸入連接器提供。用於控制製程的信號由該設備之類比及數位輸出連接器輸出。
該系統軟體被以許多不同的方法設計或建構。例如,寫入多樣的反應腔室元件的副程式或控制物件,以控制對於實現沉積製程所需之腔室元件之運作。為此目的的程式或部分程式的例子,包括處理步驟之基板時序的程式碼、前驅物和惰性氣體的流速和溫度的程式碼,以及腔室壓力之程式碼。
該噴淋頭裝置211較佳地係溫度受控制且由射頻供電。一溫控且射頻供電之噴淋頭裝置之例示性實施例,可見於共同受讓之美國專利申請案第2013/0316094號,該案以全文加入本發明之參考資料。
根據揭露於此的實施例,該噴淋頭模組較佳地包含一滾珠螺桿噴淋頭模組調整器組件,以精細地調整噴淋頭模組的平面化,以及有效率地安置或從該半導體基板處理設備移除該噴淋頭模組。如圖3所示,一噴淋頭模組211較佳地包括一桿305、一包含背板317與面板316的基座315,以及用於調整噴淋頭模組211的平面化之滾珠螺桿噴淋頭模組調整器組件400。噴淋頭模組211的平面化可經由拴緊或調鬆滾珠螺桿405而調整,而該滾珠螺桿405調整絕緣軸套415(其固定地支撐噴淋頭模組211的桿305)之凸緣區416的平面化,故該噴淋頭模組211的面板316的平面化同樣地被調整。
如圖4所示,該噴淋頭模組211較佳地支撐於該化學分離室(即反應腔室)之頂部板330中。該頂部板330較佳地包含一階梯形開口331,其支撐一套環413於其中。該階梯形開口331的一水平表面較佳地具有開口(例如有螺紋的孔),其中該套環413中相應的階梯形開口(用以接收緊固件),包含至少三緊固件402,其將套環413固定於頂部板330。該套環413支撐該滾珠螺桿噴淋頭模組調整器組件400的剩餘部分於頂部板330中。該滾珠螺桿噴淋頭模組調整器組件400被頂部板330接地,其中絕緣軸套415將該桿305與該頂部板330電性絕緣。該絕緣軸套415較佳地為陶瓷製成,但任何適合的絕緣材料也可被使用。
現參考圖4與圖5,一O形環409在該套環413之下表面與該階梯形開口331之水平表面之間形成氣密封閉(即密封件)。一伸縮軟件411在該套環413與一調整器板412之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿405可操作用以,相對於套環413而調整該調整器板412的平面化。較佳的是,每個滾珠螺桿405的上端都螺紋地支撐於該調整器板412之下表面上之各別的凹槽中,其中每個各別的滾珠螺桿405的下端可旋轉地容納於該套環413之滾珠座430中。該絕緣軸套415 延伸經過套環413之開口、伸縮軟件411,以及調整器板412;其中位於該絕緣軸套415之上端的凸緣區416,被固定地支撐於調整器板412之上表面,其中一O形環409在該凸緣區416與該調整器板412之間形成氣密封閉。較佳的是,該絕緣軸套415之凸緣區416以及該調整器板412包含相對應的開口,其中在該相對應開口中之至少三緊固件420將凸緣區416固定地支撐且裝附於該調整器板412。
該噴淋頭模組211之桿305藉由螺帽組件410支撐在該絕緣軸套415之階梯形開口中。現參考圖6以及圖4和圖5,該螺帽組件410可操作用以,壓縮該桿305的錐形/圓錐形肩部417於該螺帽組件410之下,並靠著該絕緣軸套415之一圓錐形肩部418,如此該噴淋頭模組211之該桿305被固定地支撐且對準於該絕緣軸套415內,俾經由調整支撐凸緣區416的調整器板412之平面化,而調整該噴淋頭模組211的面板316之平面化。該螺帽組件410包含,和圍繞在該桿305上端區域的外部螺紋404嚙合的螺帽407;以及位於螺帽407之下的墊圈406,其壓縮該絕緣軸套415與該墊圈406之間的封口408,俾當螺帽組件410的螺帽407被鎖緊時,在該桿305與該絕緣軸套415之間形成氣密封閉(密封件)。因此,該螺帽組件410允許以單獨螺帽407的拴緊或調鬆,輕易地安裝或移除該噴淋頭模組211,該螺帽407在處理期間位於該化學分離室之外部,其中封口408形成氣密封閉故化學分離室能運作在真空壓力下。
該各別的滾珠螺桿405的下端(即滾珠)較佳地配適於該套環413的滾珠座430中。該三滾珠螺桿405之間較佳地於周向上以等角或不等角分開放置,例如其中二角度可以介於約120度和165度,而第三個角度可介於35度和120度。較佳的是,該滾珠螺桿405以約120度的角度平均地分開放置。該滾珠螺桿405可以拴緊或調鬆,以原位(in situ)改變該噴淋頭模組211之面板316之傾斜、傾角(即平面化)、以及升高程度。較佳的是,該滾珠螺桿405的調整(拴緊或調鬆)允許面板316之平面化調整較佳地小於約一度的百分之一,更佳地小於約一度的千分之一。
該伸縮軟件411較佳地在該套環413與該調整器板412之間形成氣密且可膨脹的真空密封,其中該桿305與該絕緣軸套415延伸經過該氣密且可膨脹的真空密封,故噴淋頭模組211的平面化可被改變,而無須破壞該氣密且可膨脹的真空密封。較佳地,該伸縮軟件411之上端焊接到該調整器板412,而下端銲接到該套環413。
較佳的是,該系統控制器228電性地連接到至少一原位感測元件(偵測器),以量測該面板316與該基板支座模組223的上表面之間的間距高度,以及相對於基板支座模組223之上表面的面板316的平面化,如此間距控制與平面化控制可以反饋的控制模式執行。多樣形式的原位偵測器,例如雷射干涉儀、電感、電容、聲波、線性可變差動變壓器(LDVT)感測器,被使用當作間距和平面性感測器,其中該感測器坐落在該化學分離室的內部或外部。較佳的是,該感測器是一無線間距測量裝置,例如可從位於明尼亞波利斯(Minneapolis, MN)的CyberOptics Semiconductor公司購得的自動間距系統(AGS)晶圓。
雖然該包含檔板設置的半導體基板處理設備已參考具體的實施例加以詳細描述,顯然地對於該發明所屬技術領域中有通常知識者而言,可不背離隨附申請專利範圍之範疇,而做出或等效應用多樣的改變與改良。
13‧‧‧半導體基板
201‧‧‧半導體基板處理設備
202‧‧‧低頻(LF)射頻產生器
203‧‧‧氣體管線
204‧‧‧高頻(HF)射頻產生器
206‧‧‧匹配網路
209‧‧‧真空來源
211‧‧‧噴淋頭模組
220‧‧‧加熱器組件
223‧‧‧可移動支座模組
228‧‧‧系統控制器
229‧‧‧質流控制器
235‧‧‧真空管線
305‧‧‧桿
315‧‧‧基座
316‧‧‧面板
317‧‧‧背板
318‧‧‧處理區
330‧‧‧頂部板
331‧‧‧階梯形開口
400‧‧‧滾珠螺桿噴淋頭模組調整器組件
402‧‧‧緊固件
404‧‧‧螺紋
405‧‧‧滾珠螺桿
406‧‧‧墊圈
407‧‧‧螺帽
408‧‧‧封口
409‧‧‧O型環
410‧‧‧螺帽組件
411‧‧‧伸縮軟件
412‧‧‧調整器板
413‧‧‧套環
415‧‧‧絕緣軸套
416‧‧‧凸緣區
417‧‧‧肩部
418‧‧‧肩部
420‧‧‧緊固件
430‧‧‧滾珠座
圖1係一示意圖,根據揭露於此的實施例呈現一化學沉積設備之概觀。
圖2係一方塊圖,描繪為了實施揭露於此的實施例所設置的多種設備元件,其中電漿可用以於薄膜產生時強化沉積作用及/或反應物種間的表面反應。
圖3顯示根據揭露於此的實施例所設置之噴淋頭模組。
圖4顯示根據揭露於此的實施例所設置之噴淋頭模組之剖面。
圖5顯示根據揭露於此的實施例所設置之滾珠螺桿噴淋頭模組調整器組件之剖面。
圖6顯示根據揭露於此的實施例所設置之螺帽組件之剖面。

Claims (20)

  1. 一種半導體基板處理設備,用於處理半導體基板,包含:一化學分離室,個別的半導體基板在其中被處理,其中一頂部板形成該化學分離室的上壁;一與該化學分離室流體連通的處理氣體來源,用於提供處理氣體到該化學分離室;一噴淋頭模組,將該處理氣體從該處理氣體來源運送到該處理設備的處理區,在其中該個別的半導體基板被處理;其中該噴淋頭模組包含一連接於一桿之底端的基座,其中一包含有氣體通道通過其中的面板形成該基座的下表面;一基板支座模組,建構成在處理基板期間,將該半導體基板支撐在該面板下的處理區中;以及一滾珠螺桿噴淋頭模組調整器組件,支撐該噴淋頭模組於該頂部板,其中該滾珠螺桿噴淋頭模組調整器組件可操作用以,相對於相鄰該噴淋頭模組之面板的該基板支座模組之上表面,調整該面板的平面化,該滾珠螺桿噴淋頭模組調整器組件包含:一套環,支撐於該頂部板的階梯形開口中,其中一O形環在該套環之下表面與該階梯形開口之水平上表面之間形成氣密封閉;一伸縮軟件,在該套環與一調整器板之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿可操作用以,相對該套環調整該調整器板之平面化;以及一絕緣軸套,延伸經過該套環之開口、該伸縮軟件,以及該調整器板,其中位於該絕緣軸套上端之凸緣區被固定地支撐在該調整器板之上表面,而一O型環形成氣密封閉於兩者間;其中該噴淋頭模組之桿,藉由螺帽組件而支撐在該絕緣軸套之階梯形開口中,該螺帽組件可用於壓縮該桿的一錐形/圓錐形肩部於該螺帽組件之下,並靠著該絕緣軸套之一圓錐形肩部,如此該噴淋頭模組之桿被固定地支撐並對準於該絕緣軸套內,俾經由調整器板之平面化的調整而調整該噴淋頭模組之面板的平面化。
  2. 如申請專利範圍第1項之半導體基板處理設備,其中該螺帽組件包含一螺帽以及一墊圈,該螺帽和圍繞在該桿之上端區域的外部螺紋嚙合,該墊圈位於螺帽之下,其壓縮絕緣軸套與墊圈之間的封口,用以當螺帽組件的螺帽被鎖緊時,在該桿與該絕緣軸套之間形成氣密封閉。
  3. 如申請專利範圍第1項之半導體基板處理設備,其中該滾珠螺桿噴淋頭模組調整器組件藉由頂部板而接地,其中該絕緣軸套將該桿與該頂部板電性隔絕。
  4. 如申請專利範圍第1項之半導體基板處理設備,其中該套環包含階梯形開口,且在階梯形開口中的緊固件將該套環裝附於該頂部板。
  5. 如申請專利範圍第1項之半導體基板處理設備,其中該絕緣軸套之凸緣區與調整器板具有相應的開口,其中位於相應開口中的緊固件將該絕緣軸套之凸緣區裝附於該調整器板。
  6. 如申請專利範圍第1項之半導體基板處理設備,其中該伸縮軟件之上端焊接到該調整器板,而下端焊接到該套環。
  7. 如申請專利範圍第1項之半導體基板處理設備,其中每個滾珠螺桿的上端螺紋地支撐於該調整器板中,並且每個滾珠螺桿的底端可旋轉地容納於該套環之個別滾珠座中。
  8. 一種滾珠螺桿噴淋頭模組調整器組件,建構以將噴淋頭模組支撐於用以處理半導體基板的半導體基板處理設備之一頂部板,並可操作用以相對配置於該噴淋頭模組之一面板附近的一基板支座模組之上表面,調整該噴淋頭模組之面板的平面化,該滾珠螺桿噴淋頭模組調整器組件包含:一套環,建構成支撐在該頂部板的階梯形開口中,其中一O形環建構成在該套環之下表面與該階梯形開口之水平上表面之間形成氣密封閉;一伸縮軟件,在該套環與一調整器板之間形成氣密封閉,該調整器板藉由至少三可調整的滾珠螺桿支撐於該套環上,其中該至少三可調整的滾珠螺桿可操作用以相對該套環而調整該調整器板之平面化;以及一絕緣軸套,延伸經過該套環之開口、該伸縮軟件,以及該調整器板,其中位於該絕緣軸套之上端之凸緣區被固定地支撐在該調整器板之上表面,而一O型環形成氣密封閉於兩者間;其中該絕緣軸套之階梯形開口建構以接收可被一螺帽組件固定的噴淋頭模組之桿,該螺帽組件建構以壓縮該桿的一錐形/圓錐形肩部於該螺帽組件之下,並靠著該絕緣軸套之一圓錐形肩部,如此該噴淋頭模組之桿被固定地支撐且對準於該絕緣軸套內,俾經由調整器板之平面化的調整而調整該噴淋頭模組之面板的平面化。
  9. 如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,其中該套環具有階梯形開口,建構用以接收將該套環裝附於該頂部板的緊固件。
  10. 如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,其中該絕緣軸套之凸緣區與該調整器板具有相應的開口,其中位於相應開口中的緊固件將該電絕緣軸套之凸緣區裝附於該調整器板。
  11. 如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,其中每個滾珠螺桿的上端螺紋地支撐於該調整器板中,而每個滾珠螺桿的底端可旋轉地容納於該套環之各別的滾珠座中。
  12. 如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,其中該螺帽組件包含一和圍繞在該桿上端區域的外部螺紋嚙合的螺帽、以及位於螺帽之下的墊圈,其建構以壓縮該絕緣軸套與該墊圈之間的封口,俾當螺帽組件的螺帽被鎖緊時,在該桿與該絕緣軸套之間形成氣密封閉。
  13. 如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,其中該伸縮軟件之上端焊接到該調整器板,而下端焊接到該套環。
  14. 一種噴淋頭模組,包含如申請專利範圍第8項之滾珠螺桿噴淋頭模組調整器組件,該噴淋頭模組建構用以將處理氣體從一處理氣體來源運送到該半導體基板處理設備的處理區,在其中個別的半導體基板被處理;其中該噴淋頭模組包含連接於該桿之底端的基座,且其中一包含有氣體通道通過其中的面板形成該基座的下表面。
  15. 一種在申請專利範圍第1項之半導體基板處理設備中安裝一噴淋頭模組的方法,包含:支撐該套環於該處理設備之頂部板之階梯形開口中,其中該調整器板藉由至少三滾珠螺桿支撐於該套環之上,且一伸縮軟件於該套環與該調整器板間形成氣密封閉;支撐一絕緣軸套於該套環、伸縮軟件以及調整器板之內,其中該絕緣軸套之凸緣區支撐在該調整器板之上表面之上;將該噴淋頭模組之桿插入並穿過該絕緣軸套,並拴緊圍繞該桿之外部螺紋的該螺帽組件之螺帽,藉此壓縮圍繞該桿的錐形/圓錐形肩部於該外部螺紋之下,並靠著該絕緣軸套之圓錐形肩部。
  16. 如申請專利範圍第15項之在申請專利範圍第1項之半導體基板處理設備中安裝一噴淋頭模組的方法,更包含藉由拴緊或調鬆該滾珠螺桿噴淋頭模組調整器組件之至少一滾珠螺桿,相對於該基板支座模組之上表面,調整該面板的平面化以及間距高度。
  17. 如申請專利範圍第15項之在申請專利範圍第1項之半導體基板處理設備中安裝一噴淋頭模組的方法,更包含相對該基板支座模組之上表面,使用原位感測元件量測該面板的平面化與間距高度,該原位感測元件係選自由下列組成之群組:雷射干涉儀、電感感測器、電容感測器、聲波感測器、線性可變差動變壓器(LDVT)感測器,以及自動間距系統(AGS)晶圓。
  18. 如申請專利範圍第16項之在申請專利範圍第1項之半導體基板處理設備中安裝一噴淋頭模組的方法,其中該面板之平面化調整係(a)小於一度的百分之一,或(b)小於一度的千分之一。
  19. 一種在申請專利範圍第1項之半導體基板處理設備中處理半導體基板之方法,包含:相對該基板支座模組之上表面,調整該噴淋頭模組之面板的平面化及間距高度;經由該噴淋頭模組將該處理氣體從處理氣體來源供應到該處理區;以及於該處理區中處理半導體基板。
  20. 如申請專利範圍第19項之在申請專利範圍第1項之半導體基板處理設備中處理半導體基板之方法,其中該處理係下列至少一者:化學氣相沉積;電漿增強化學氣相沉積;原子層沉積;電漿增強原子層沉積;脈衝式沉積層;分子層沉積;蝕刻;光阻去除;及/或電漿增強脈衝沉積層。
TW104104310A 2014-02-11 2015-02-10 用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件 TWI658872B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/177,879 US9290843B2 (en) 2014-02-11 2014-02-11 Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US14/177,879 2014-02-11

Publications (2)

Publication Number Publication Date
TW201600177A TW201600177A (zh) 2016-01-01
TWI658872B true TWI658872B (zh) 2019-05-11

Family

ID=53774443

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104104310A TWI658872B (zh) 2014-02-11 2015-02-10 用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件

Country Status (4)

Country Link
US (1) US9290843B2 (zh)
KR (1) KR102382275B1 (zh)
CN (1) CN104862672B (zh)
TW (1) TWI658872B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
CN105870040B (zh) * 2016-04-05 2018-09-04 京东方科技集团股份有限公司 一种喷嘴和刻蚀装置
US10483092B2 (en) 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR102269342B1 (ko) * 2016-12-19 2021-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
DE102017126448A1 (de) 2017-11-10 2019-05-16 Aixtron Se Vorrichtung und Verfahren zur Nachjustierung eines Gaseinlassorgans in einem Reaktorgehäuse
CN108330468B (zh) * 2018-03-14 2023-06-30 深圳市志橙半导体材料有限公司 一种化学气相沉积炉的基体支撑装置及基体旋转驱动装置
CN111211067A (zh) * 2018-11-22 2020-05-29 东泰高科装备科技有限公司 工艺腔室和半导体处理设备
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
KR102444121B1 (ko) * 2020-03-31 2022-09-19 주식회사 에프에스 웨이퍼 처리 장치 및 이의 처리방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5778969A (en) * 1994-04-28 1998-07-14 Novellus Systems, Inc. Apparatus for thermal treatment of thin wafers
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US20050208774A1 (en) * 2004-01-08 2005-09-22 Akira Fukunaga Wet processing method and processing apparatus of substrate
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
US20090095219A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) * 1962-03-15
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
JP3270428B2 (ja) * 1999-07-28 2002-04-02 東芝機械株式会社 電動式射出成形機の旋回装置
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100852200B1 (ko) * 2004-06-03 2008-08-13 도쿄엘렉트론가부시키가이샤 플라즈마 cⅴd 장치
KR100666574B1 (ko) * 2005-01-31 2007-01-09 삼성에스디아이 주식회사 증발원
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8033001B2 (en) 2007-12-31 2011-10-11 Texas Instruments Incorporated CVD showerhead alignment apparatus
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
KR20090130559A (ko) * 2008-06-16 2009-12-24 삼성모바일디스플레이주식회사 이송 장치 및 이를 구비하는 유기물 증착 장치
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
CN201962357U (zh) * 2010-12-27 2011-09-07 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
CN203255370U (zh) * 2013-04-25 2013-10-30 无锡市培力机械制造有限公司 一种生产纺织橡胶皮辊及皮圈的双头包膜机
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5778969A (en) * 1994-04-28 1998-07-14 Novellus Systems, Inc. Apparatus for thermal treatment of thin wafers
US6453992B1 (en) * 1999-05-10 2002-09-24 Hyundai Electronics Industries Co., Ltd. Temperature controllable gas distributor
US20050208774A1 (en) * 2004-01-08 2005-09-22 Akira Fukunaga Wet processing method and processing apparatus of substrate
US20060060138A1 (en) * 2004-09-20 2006-03-23 Applied Materials, Inc. Diffuser gravity support
US20090095219A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead

Also Published As

Publication number Publication date
CN104862672A (zh) 2015-08-26
US9290843B2 (en) 2016-03-22
US20150225854A1 (en) 2015-08-13
TW201600177A (zh) 2016-01-01
KR102382275B1 (ko) 2022-04-01
KR20150094537A (ko) 2015-08-19
CN104862672B (zh) 2019-02-01

Similar Documents

Publication Publication Date Title
TWI658872B (zh) 用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件
KR102593566B1 (ko) Rf 밸런싱을 사용하는 멀티스테이션 플라즈마 반응기
JP7199414B2 (ja) シャワーヘッドチルト機構
US20090260571A1 (en) Showerhead for chemical vapor deposition
TW201814827A (zh) 在電漿處理室中提供間隙高度及平面化調整之基板支架
US20230103165A1 (en) Capacitive sensing data integration for plasma chamber condition monitoring
KR20150127537A (ko) 기판 처리 장치 및 플라즈마 처리 방법
JP2004263209A (ja) 真空処理装置
TW202201460A (zh) 用於電漿腔室條件監測的電容感測器及電容感測位置
TW202134672A (zh) 用於腔室條件監測的電容感測器殼體
KR20230089877A (ko) 플라즈마 제어 장치 및 플라즈마 처리 시스템
JP2016086040A (ja) 半導体製造装置の出力検査方法及び半導体製造装置