CN104862672B - 衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件 - Google Patents

衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件 Download PDF

Info

Publication number
CN104862672B
CN104862672B CN201510069731.6A CN201510069731A CN104862672B CN 104862672 B CN104862672 B CN 104862672B CN 201510069731 A CN201510069731 A CN 201510069731A CN 104862672 B CN104862672 B CN 104862672B
Authority
CN
China
Prior art keywords
head module
ball
lantern ring
insulating sleeve
screw
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201510069731.6A
Other languages
English (en)
Other versions
CN104862672A (zh
Inventor
埃里克·拉塞尔·马德森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104862672A publication Critical patent/CN104862672A/zh
Application granted granted Critical
Publication of CN104862672B publication Critical patent/CN104862672B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45589Movable means, e.g. fans
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/143Masks therefor
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/14Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern using spraying techniques to apply the conductive material, e.g. vapour evaporation
    • H05K3/146By vapour deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49764Method of mechanical manufacture with testing or indicating
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining
    • Y10T29/49947Assembling or joining by applying separate fastener
    • Y10T29/49963Threaded fastener

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件,具体而言,一种半导体衬底处理装置包括用于调整装置的喷头模块的平面化的滚珠丝杠喷头模块调节器组件。该滚珠丝杠喷头调节器组件包括被支撑在顶板的阶梯开口中的套环;在套环与被至少三个可调的滚珠丝杠支撑在套环上方的调节器板之间形成气密密封的波纹管,其中该至少三个可调的滚珠丝杠能操作地相对于套环调整调节器板的平面化。绝缘套管延伸通过套管中的开口、波纹管和调节器板。喷头模块的杆通过螺母组件被支撑在绝缘套管的开口中,使得杆被支撑并对准在绝缘套管内,以便调节器板的平面化的调整借此调整喷头模块的面板的平面化。

Description

衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件
技术领域
本发明属于用于处理半导体衬底的半导体衬底处理装置,并且可特别适用于执行薄膜的化学气相沉积。
背景技术
半导体衬底处理装置被用于通过包括以下技术的技术来处理半导体衬底:物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、分子层沉积(MLD)、等离子体增强脉冲沉积层(PEPDL)处理、蚀刻和抗蚀剂的去除。一种类型的用于处理半导体衬底的半导体衬底处理装置包括含有喷头模块的反应室和将半导体衬底支撑在该反应室中的衬底基座模块。喷头模块输送处理气体到反应器室中,以便可以对半导体衬底进行处理。在这样的室中安装和拆卸喷头模块可能是耗时的,并且如果喷头模块的下表面不平行于衬底基座模块的上表面,则在衬底处理过程中可能发生进一步的非均匀的膜沉积。
发明内容
本文公开了用于处理半导体衬底的半导体衬底处理装置。衬底处理装置包括:化学隔离室,在其中单个的半导体衬底被处理,其中顶板形成化学隔离室的上壁;与化学隔离室流体连通的处理气体源,其用于将处理气体供给至化学隔离室中;喷头模块,其将处理气体从处理气体源输送至处理装置的处理区,在该处理区单个的半导体衬底被处理,其中喷头模块包括附连到杆的下端的基体,其中具有气体通道穿过其中的面板形成基体的下表面;以及衬底基座模块,其被配置成在衬底的处理过程中在面板下方的处理区中支撑半导体衬底。滚珠丝杠喷头模块调节器组件将喷头模块支撑在顶板中,其中滚珠丝杠喷头模块调节器组件能操作地相对于邻近喷头模块的面板的衬底基座模块的上表面调整喷头模块的面板的平面化(planarization)。滚珠丝杠喷头模块调节器组件包括:被支撑在顶板的阶梯开口中的套环,其中O形环在套环的下表面与阶梯开口的水平上表面之间形成气密密封;在套环与被至少三个可调的滚珠丝杠支撑在套环上方的调节器板之间形成气密密封的波纹管,其中该至少三个可调的滚珠丝杠能操作地相对于套环调整调节器板的平面化;以及延伸通过套环中的开口、波纹管和调节器板的绝缘套管,其中在绝缘套管的上端上的凸缘被固定地支撑在调节器板的上表面上并且O形环形成其间的气密密封。喷头模块的杆通过螺母组件被支撑在绝缘套管的阶梯开口中。螺母组件能操作地抵靠绝缘套管的圆锥形肩挤压螺母组件下方的杆的锥形/圆锥形肩,使得喷头模块的杆被固定地支撑并对准在绝缘套管内,以便调节器板的平面化的调整借此调整喷头模块的面板的平面化。
本文还公开了一种滚珠丝杠喷头模块调节器组件,其被配置成将喷头模块支撑在用于处理半导体衬底的半导体衬底处理装置的顶板中。滚珠丝杠喷头模块调节器组件能操作地相对于被配置成邻近喷头模块的面板的衬底基座模块的上表面调整喷头模块的面板的平面化。滚珠丝杠喷头模块调节器组件包括:被配置成支撑在顶板的阶梯开口中的套环,其中O形环被配置成在套环的下表面与阶梯开口的水平上表面之间形成气密密封;在套环与被至少三个可调的滚珠丝杠支撑在套环上方的调节器板之间形成气密密封的波纹管,其中该至少三个可调的滚珠丝杠能操作地相对于套环调整调节器板的平面化;以及延伸通过套环中的开口、波纹管和调节器板的绝缘套管,其中在绝缘套管的上端上的凸缘被固定地支撑在调节器板的上表面并且O形环形成其间的气密密封。喷头模块的杆被配置成通过螺母组件被支撑在绝缘套管的阶梯开口中,该螺母组件被配置成抵靠绝缘套管的圆锥形肩挤压螺母组件下方的杆的锥形/圆锥形肩,使得喷头模块的杆被固定地支撑并对准在绝缘套管内,以便调节器板的平面化的调整借此调整喷头模块的面板的平面化。
附图说明
图1根据本文公开的实施方式示出了显示化学沉积装置的概观的示意图。
图2示出了描绘被布置用于实施本文公开的实施方式的各种装置部件的方框图,其中在薄膜的产生过程中,等离子体可以用于反应物质之间的增强沉积和/或表面反应。
图3示出了根据本文公开的实施方式布置的喷头模块。
图4示出了根据本文公开的实施方式布置的喷头模块的横截面。
图5示出了根据本文公开的实施方式布置的滚珠丝杠喷头模块调节器组件的横截面。
图6示出了根据本文公开的实施方式布置的螺母组件的横截面。
具体实施方式
在下面的详细描述中,为了使本文公开的装置和方法能被全面理解,阐述了许多具体实施方式。然而,如对本领域技术人员将显而易见的是,这些实施方式可以在没有这些具体细节的情况下或者通过使用替代元素或处理来实现。在其它情况下,为了避免不必要地使本文公开的实施方式的各方面难以理解,未对公知的处理、程序和/或部件进行详细描述。如本文中与数值结合使用的术语“约”是指±10%。
如所示出的,这些实施方式提供了半导体衬底处理装置,例如沉积装置(或在替代实施方式中的蚀刻装置),以及用于进行化学气相沉积的相关的方法,例如等离子体增强化学气相沉积。该装置和方法特别适用于与基于电介质沉积处理或金属沉积处理的半导体制造结合使用,该电介质沉积处理或金属沉积处理需要在多步骤沉积处理(例如,原子层沉积(ALD)处理、等离子体增强原子层沉积(PEALD)处理、等离子体增强化学气相沉积(PECVD)处理、脉冲沉积层(PDL)处理、分子层沉积(MLD)处理、或等离子体增强脉冲沉积层(PEPDL)处理)中分离自限制沉积步骤,但是它们并不限于此。可以在共同受让的美国专利申请No.2013/0230987、No.2013/0005140、No.2013/0319329、和美国专利8,580,697、8,431,033和8,557,712中找到处理半导体衬底的方法的示例性实施方式,这些专利文件的全文通过引用并入此处。
前面提到的处理可能遭受一些与非均匀的处理气体输送到接受诸如处理气体前体或反应物之类的沉积处理气体的晶片或半导体衬底的上表面相关联的缺点。例如,如果输送处理气体至半导体衬底的喷头模块的下表面不平行于支撑半导体衬底的衬底基座模块的上表面,则会形成半导体衬底的上表面上的非均匀的前体分布。此外,相对于衬底基座模块安装、拆卸和平面化喷头模块的操作可能是耗时的,并且可能增加半导体衬底处理装置的停机时间。
通常有两种主要类型的CVD喷头模块:吊灯型和嵌入式安装型。吊灯喷头模块具有一端附连到反应室的顶板以及另一端附连到面板的杆,类似于吊灯。杆的一部分可以伸出顶板以使气体管线和RF功率能够连接。嵌入式安装喷头模块被集成到室的顶部并且不具有杆。本文所公开的本实施方式属于吊灯型喷头模块。
典型地用湿法清洗程序进行喷头模块调平(平面化),其涉及一次或多次使装置的反应室(化学隔离室)冷却并排气。可能需要进入室的内部进行冷却和排气以调整喷头与衬底基座模块之间的间距并相对于基座模块的上表面使喷头的下表面平面化。常规技术包括将金属箔球放置在室中以测量喷头模块与衬底基座模块之间的间隙,然后基于测量结果调整喷头模块的背板与反应室的顶板之间的支座的数量,通常是三个或更多个。支座只能通过排气并冷却室后打开顶板进行调整。在认为喷头模块是水平的以前,可能进行多个测量并调整的循环。因为喷头不能通过外部操作调平,所以该处理可能会非常耗时,长达约20小时。
本文所公开的本实施方式解决了这些问题。相应地,包括滚珠丝杠喷头模块调节器组件的喷头模块被设计成即使当室处于真空下(不需要排气)时,也能从反应室的外部调平。该喷头模块和滚珠丝杠喷头模块调节器组件设计还可以减少或消除保留诱导应力的部件,该诱导应力随后可以使经调平的喷头倾斜。因此,不仅调平时间变短,而且调平之间的持续时间也可以更长。在某些情况下,通过减少预定的停机时间的持续时间和频率增加了室的正常运行时间。在一个优选的实施方式中,喷头模块通过包括单个螺母的螺母组件被安装并支撑在反应室的顶板上,因此减少了从半导体衬底处理装置安装并拆卸喷头模块所需要的时间。
图1是根据本文公开的实施方式显示用于化学气相沉积的半导体衬底处理装置201的概观的示意图。诸如晶片之类的半导体衬底13位于能够相对于喷头模块211升高或降低的可移动的基座模块223的顶部上,喷头模块211也可以被垂直移动。经由气体管线203将反应物质气体引入到室的处理区318中,其中处理气体流是由质量流量控制器229控制的。注意,该装置可以被修改成具有一个或多个气体管线,具体取决于所使用的反应气体的数量。室通过连接到真空源209的真空管线235被抽真空。真空源可以是真空泵。
本文所公开的实施方式可以在等离子体增强化学沉积装置(即PECVD装置、PEALD装置、或PEPDL装置)中进行。图2提供了描绘被布置用于实施本文所公开的实施方式的各种装置部件的简单方块图,其中等离子体被用于增强沉积。如图所示,处理区318用于容纳由电容耦合等离子体系统产生的等离子体,该电容耦合等离子体系统包括与衬底基座模块223协同工作的喷头模块211,其中衬底基座模块223被加热。诸如至少一个高频(HF)RF发生器204之类的RF源(多个)被连接到匹配网络206,以及任选的低频(LF)RF发生器202被连接到喷头模块211。在一个替代实施方式中,高频发生器204可以被连接到衬底基座模块223。通过匹配网络206供给的功率和频率足以导致从处理气体/蒸气产生等离子体。在一个实施方式中,高频发生器和低频发生器两者均被使用,而在替代的实施方式中,仅高频发生器被使用。在典型的处理中,高频发生器在约2-100MHz的频率下工作;在优选的实施方式中,在13.56MHz或27MHz的频率下工作。低频发生器在约50kHz至2MHz下工作;在优选的实施方式中,在约350至600kHz下工作。处理参数可基于室容积、衬底尺寸以及其它因素调节。类似地,处理气体的流率可以取决于真空室(反应室)或处理区的自由体积。
在室中,衬底基座模块223支撑衬底13,诸如薄膜之类的材料可以沉积在该衬底13上。衬底基座模块223可以包括叉或升降销以在沉积和/或等离子体处理反应期间以及在沉积和/或等离子体处理反应之间保持以及传送衬底。在一个实施方式中,衬底13可以被配置成被搁在衬底基座模块223的表面上,然而,在替代的实施方式中,衬底基座模块223可以包括用于将衬底13保持在衬底基座模块223的表面上的静电卡盘、机械卡盘或真空卡盘。衬底基座模块223可以与用于将衬底13加热至所需的温度的加热部件220耦合。衬底13被保持在约25℃至500℃或更高的温度下,具体取决于待沉积的材料。
在某些实施方式中,系统控制器228被用于控制在沉积、后沉积处理、和/或其它处理操作期间的处理条件。控制器228典型地将包括一个或多个存储器设备以及包括一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在某些实施方式中,控制器228控制设备的所有的活动。系统控制器228执行包括用于控制以下处理条件的指令集的系统控制软件:处理操作的时序、低频发生器202和高频发生器204的操作的频率和功率、前体和惰性气体以及它们的相应混合物的流率和温度、加热部件220和喷头模块211的温度、室的压力、以及特定处理的其它参数。在一些实施方式中可以采用存储在与控制器相关联的存储器设备上的其它计算机程序。
典型地将有与控制器228相关联的用户界面。用户界面可以包括显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
非暂时性计算机机器可读介质可以包括用于装置的控制的程序指令。可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其它)编写用于控制处理操作的计算机程序代码。通过处理器执行编译目标代码或脚本以完成在程序中识别的任务。
控制器参数涉及处理条件,诸如,处理步骤的时序、前体和惰性气体的流率和温度、晶片的温度、室的压力、以及特定处理的其它参数。这些参数被以配方的形式提供给用户,并且可以利用用户界面输入。
用于监控处理的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制处理的信号通过装置的模拟和数字输出连接被输出。
可以以许多不同的方式设计或配置系统软件。例如,可以写入各种室部件子程序或控制对象以控制进行沉积处理所需的室部件的操作。用于此目的的程序或程序段的实例包括衬底处理步骤的时序代码、前体和惰性气体的流率和温度代码、以及用于室的压力的代码。
喷头模块211优选地是温度受控制的以及RF供电的。可以在共同受让的美国专利申请No.2013/0316094中找到温度受控制的RF供电的喷头模块的示例性实施方式,该专利申请的全文通过引用并入此处。
根据本文所公开的实施方式,喷头模块优选地包括滚珠丝杠喷头模块调节器组件以精细调整喷头模块的平面化,以及使喷头模块有效率地安装到半导体衬底处理装置以及使喷头模块有效率地从半导体衬底处理装置拆卸。如图3中所示的,喷头模块211优选地包括杆305、包括背板317和面板316的基体315、以及用于调整喷头模块211的平面化的滚珠丝杠喷头模块调节器组件400。喷头模块211的平面化可以通过拧紧或松开滚珠丝杠405来调整,该滚珠丝杠405调整固定地支撑喷头模块211的杆305的电绝缘套管415的凸缘416的平面化,从而可以类似地调整喷头模块211的面板316的平面化。
如图4中所示的,喷头模块211优选地被支撑在化学隔离室(即反应室)的顶板330中。顶板330优选地包括阶梯开口331,套环413被支撑在该阶梯开口331中。阶梯开口331的水平面优选地具有诸如带螺纹的开口之类的开口,其中在套环413中的用于接收紧固件的相应的阶梯开口包括至少三个紧固件402,该至少三个紧固件402使套环413附连至顶板330。套环413将滚珠丝杠喷头模块调节器组件400的其余部分支撑在顶板330中。滚珠丝杠喷头模块调节器组件400通过顶板330接地,其中绝缘套管415使杆305与顶板330电绝缘。绝缘套管415优选地由陶瓷构成,然而,可以使用任何合适的绝缘材料。
现在参考图4和图5,O形环409在套环413的下表面与阶梯开口331的水平面之间形成气密密封(即,气体密封部)。波纹管形成在套环413与被至少三个可调的滚珠丝杠405支撑在套环413上方的调节器板412之间的气密密封,其中该至少三个可调的滚珠丝杠405能操作地相对于套环413调整调节器板412的平面化。优选地,每个滚珠丝杠405的上端通过螺纹被支撑在调节器板412的下表面中的相应的凹进中,其中每个相应的滚珠丝杠405的下端被可旋转地接收在套环413的球座430中。绝缘套管415延伸通过套环413中的开口、波纹管411、以及调节器板412,其中在绝缘套管415的上端上的凸缘416被固定地支撑在调节器板412的上表面上,O形环409形成凸缘416与调节器板412之间的气密密封。优选地,绝缘套管415的凸缘416与调节器板412包括相应的开口,其中在相应的开口中的至少三个紧固件420被固定地支撑并附连凸缘416至调节器板412。
喷头模块211的杆305通过螺母组件410被支撑在绝缘套管415的阶梯开口中。现在参考图6以及图4和图5,螺母组件410能操作以抵靠绝缘套管415的圆锥形肩418挤压螺母组件410下方的杆305的锥形/圆锥形肩417,使得喷头模块211的杆305被固定地支撑并对准在绝缘套管415内,以便支撑凸缘416的调节器板412的平面化的调整借此调整喷头模块211的面板316的平面化。螺母组件410包括与围绕杆305的上部的外部螺齿404啮合的螺母407,以及螺母407下方的垫圈406,该螺母407挤压绝缘套管415与垫圈406之间的密封件408,以便当螺母组件410的螺母407被拧紧时形成杆305与绝缘套管415之间的气密密封(即,气体密封部)。因此,随着单个螺母407的拧紧与松开,螺母组件410使喷头模块211能容易安装和拆卸,该螺母组件410在处理过程中是位于化学隔离室的外部,其中密封件408形成气密密封使得化学隔离室能在真空压力下进行操作。
优选地,各个滚珠丝杠405的下端(即,球)安装在套环413的球座430中。优选地,三个滚珠丝杠405以相等的角度或不相等的角度周向间隔开,例如,角度中的两个可以介于约120与165度之间且第三角度可以介于约35与120度之间。优选地,滚珠丝杠405由约120度的角度均匀间隔开。滚珠丝杠405可以被拧紧或松开,从而原位改变喷头模块211的面板316的倾斜、侧伏角、(即平面化)、以及仰角。优选地,滚珠丝杠405的调整(拧紧或松开)使面板316的平面化能够调整至优选地低于约百分之一度,以及更优选地低于约千分之一度。
波纹管411优选地形成套环413与调节器板412之间的气密可膨胀真空密封,其中杆305和绝缘套管415延伸通过气密可膨胀真空密封使得在不破坏气密可膨胀真空密封的情况下可以改变喷头模块211的平面化。优选地,波纹管411的上端被焊接至调节器板412以及下端被焊接至套环413。
优选地,系统控制器228被电连接到至少一个原位传感器(检测器),该原位传感器(检测器)用于测量面板316和衬底基座模块223的上表面之间的间隙高度,以及测量面板316相对于衬底基座模块223的上表面的平面化程度(planarity),使得可以以反馈控制模式来执行间隙控制和平面化控制。诸如激光干涉仪、电感式传感器、电容式传感器、声传感器、线性可变差动变压器式(LDVT)传感器之类的各种类型的原位检测器可以被用作间隙和平面性传感器,其中传感器可以位于化学隔离室的内部或者外部。优选地,传感器是诸如自动间隙调整系统(AGS)晶片之类的无线间隙测量装置,例如可从明尼阿波利斯市的CyberOptics Semiconductor商购的AGS晶片。
虽然已经参照其具体实施方式详细描述了包括挡板装置的半导体衬底处理装置,但是对本领域技术人员将显而易见的是,在不脱离所附权利要求的范围的情况下,可以做出各种改变和修饰并且可以采用等同方式。

Claims (20)

1.一种用于处理半导体衬底的半导体衬底处理装置,其包括:
化学隔离室,在其中单个的半导体衬底被处理,其中顶板形成所述化学隔离室的上壁;
与所述化学隔离室流体连通的处理气体源,其用于将处理气体供给至所述化学隔离室内;
喷头模块,其将所述处理气体从所述处理气体源输送至所述处理装置的处理区,在该处理区处理所述单个的半导体衬底,其中所述喷头模块包括附连到杆的下端的基体,并且其中具有气体通道穿过其中的面板形成所述基体的下表面;
衬底基座模块,其被配置成在所述衬底的处理过程中在所述面板下方的所述处理区中支撑所述半导体衬底;以及
滚珠丝杠喷头模块调节器组件,其将所述喷头模块支撑在所述顶板中,其中所述滚珠丝杠喷头模块调节器组件能操作地相对于邻近所述面板的所述衬底基座模块的上表面调整所述喷头模块的所述面板的平面化,所述滚珠丝杠喷头模块调节器组件包括:
被支撑在所述顶板的阶梯开口中的套环,其中O形环在所述套环的下表面与所述阶梯开口的水平上表面之间形成气密密封;
在所述套环与被至少三个可调的滚珠丝杠支撑在所述套环上方的调节器板之间形成气密密封的波纹管,其中所述至少三个可调的滚珠丝杠能操作地相对于所述套环调整所述调节器板的平面化,以及
延伸通过所述套环中的开口、所述波纹管和所述调节器板的绝缘套管,其中在所述绝缘套管的上端上的凸缘被固定地支撑在所述调节器板的上表面上并且O形环形成其间的气密密封;
其中所述喷头模块的所述杆通过螺母组件被支撑在所述绝缘套管的阶梯开口中,所述螺母组件能操作地抵靠所述绝缘套管的圆锥形肩挤压所述螺母组件下方的所述杆的锥形/圆锥形肩,使得所述喷头模块的所述杆被固定地支撑并对准在所述绝缘套管内,以便所述调节器板的所述平面化的调整借此调整所述喷头模块的所述面板的所述平面化。
2.如权利要求1所述的半导体衬底处理装置,其中所述螺母组件包括与围绕所述杆的上部的外部螺齿啮合的螺母,以及所述螺母下方的垫圈,该螺母挤压所述绝缘套管与所述垫圈之间的密封件以便当所述螺母组件的所述螺母被拧紧时形成所述杆与所述绝缘套管之间的气密密封。
3.如权利要求1所述的半导体衬底处理装置,其中所述滚珠丝杠喷头模块调节器组件通过所述顶板接地,并且其中所述绝缘套管使所述杆与所述顶板电绝缘。
4.如权利要求1所述的半导体衬底处理装置,其中所述套环包括阶梯开口并且在所述阶梯开口中的紧固件使所述套环附连至所述顶板。
5.如权利要求1所述的半导体衬底处理装置,其中所述绝缘套管的所述凸缘和所述调节器板包括相应的开口,并且其中在所述相应的开口中的紧固件使所述绝缘套管的所述凸缘附连至所述调节器板。
6.如权利要求1所述的半导体衬底处理装置,其中所述波纹管的上端被焊接至所述调节器板以及其下端被焊接至所述套环。
7.如权利要求1所述的半导体衬底处理装置,其中每个滚珠丝杠的上端通过螺纹被支撑在所述调节器板中以及每个滚珠丝杠的下端被能旋转地接收在所述套环的相应球座中。
8.一种滚珠丝杠喷头模块调节器组件,其被配置成将喷头模块支撑在用于处理半导体衬底的半导体衬底处理装置的顶板中,该滚珠丝杠喷头模块调节器组件能操作地相对于被配置成邻近所述喷头模块的面板的衬底基座模块的上表面调整所述喷头模块的面板的平面化,所述滚珠丝杠喷头模块调节器组件包括:
被配置成被支撑在所述顶板的阶梯开口中的套环,其中O形环被配置成在所述套环的下表面与所述阶梯开口的水平上表面之间形成气密密封;
在所述套环与被至少三个可调的滚珠丝杠支撑在所述套环上方的调节器板之间形成气密密封的波纹管,其中所述至少三个可调的滚珠丝杠能操作地相对于所述套环调整所述调节器板的平面化;以及
延伸通过所述套环中的开口、所述波纹管和所述调节器板的绝缘套管,其中在所述绝缘套管的上端上的凸缘被固定地支撑在所述调节器板的上表面并且O形环形成其间的气密密封;
其中所述绝缘套管的阶梯开口被配置成接收能通过螺母组件被附接的所述喷头模块的杆,该螺母组件被配置成抵靠所述绝缘套管的圆锥形肩挤压所述螺母组件下方的所述杆的锥形/圆锥形肩,使得所述喷头模块的杆被固定地支撑并对准在所述绝缘套管内,以便所述调节器板的所述平面化的调整借此调整所述喷头模块的所述面板的所述平面化。
9.如权利要求8所述的滚珠丝杠喷头模块调节器组件,其中所述套环包括被配置成接收使所述套环附连至所述顶板的紧固件的阶梯开口。
10.如权利要求8所述的滚珠丝杠喷头模块调节器组件,其中所述绝缘套管的所述凸缘和所述调节器板包括相应的开口,并且其中在所述相应的开口中的紧固件使所述绝缘套管的所述凸缘附连至所述调节器板。
11.如权利要求8所述的滚珠丝杠喷头模块调节器组件,其中每个滚珠丝杠的上端通过螺纹被支撑在所述调节器板中以及每个滚珠丝杠的下端被能旋转地接收在所述套环的相应的球座中。
12.如权利要求8所述的滚珠丝杠喷头模块调节器组件,其中所述螺母组件包括与围绕所述杆的上部的外部螺齿啮合的螺母,以及所述螺母下方的垫圈,该螺母被配置成挤压所述绝缘套管与所述垫圈之间的密封件以便当所述螺母组件的所述螺母被拧紧时形成所述杆与所述绝缘套管之间的气密密封。
13.如权利要求8所述的滚珠丝杠喷头模块调节器组件,其中所述波纹管的上端被焊接至所述调节器板以及其下端被焊接至所述套环。
14.一种喷头模块,其包括如权利要求8所述的滚珠丝杠喷头模块调节器组件,所述喷头模块被配置成将处理气体从处理气体源输送至所述半导体衬底处理装置的处理区,在该处理区处理单个的半导体衬底,其中所述喷头模块包括附连到所述杆的下端的基体,并且其中具有气体通道穿过其中的面板形成所述基体的下表面。
15.一种将喷头模块安装到如权利要求1所述的半导体衬底处理装置中的方法,其包括:
将所述套环支撑在所述处理装置的所述顶板的所述阶梯开口中,其中所述调节器板通过至少三个滚珠丝杠被支撑在所述套环的上方并且波纹管形成在所述套环与所述调节器板之间的气密密封;
将绝缘套管支撑在所述套环、波纹管、以及调节器板中,其中所述绝缘套管的凸缘被支撑在所述调节器板的上表面上;并且
使所述喷头模块的所述杆插入通过所述绝缘套管并绕所述杆的外部螺齿拧紧所述螺母组件的螺母,从而抵靠所述绝缘套管的圆锥形肩挤压所述外部螺齿下方的围绕所述杆的锥形/圆锥形肩。
16.如权利要求15所述的方法,还包括通过拧紧或松开所述滚珠丝杠喷头模块调节器组件的至少一个滚珠丝杠来相对于所述衬底基座模块的所述上表面调整所述面板的所述平面化和所述面板的间隙高度。
17.如权利要求15所述的方法,还包括使用选自激光干涉仪、电感式传感器、电容式传感器、声传感器、线性可变差动变压器式(LDVT)传感器以及自动间隙调整系统(AGS)晶片中的原位检测器测量相对于所述衬底基座模块的所述上表面的所述面板的所述平面化和所述面板的间隙高度。
18.如权利要求16所述的方法,其中所述面板的平面化的调整是
(a)至低于百分之一度,或
(b)至低于千分之一度。
19.一种处理在如权利要求1所述的半导体衬底处理装置中的半导体衬底的方法,其包括:
相对于所述衬底基座模块的所述上表面调整所述喷头模块的所述面板的所述平面化和所述面板的间隙高度;
通过所述喷头模块将所述处理气体从所述处理气体源供给至所述处理区;以及
在所述处理区中处理半导体衬底。
20.如权利要求19所述的方法,其中所述处理为化学气相沉积;等离子体-增强化学气相沉积;原子层沉积;等离子体-增强原子层沉积;脉冲沉积层;分子层沉积;蚀刻;抗蚀剂的去除;和/或等离子体增强脉冲沉积层中的至少一种。
CN201510069731.6A 2014-02-11 2015-02-10 衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件 Active CN104862672B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/177,879 US9290843B2 (en) 2014-02-11 2014-02-11 Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US14/177,879 2014-02-11

Publications (2)

Publication Number Publication Date
CN104862672A CN104862672A (zh) 2015-08-26
CN104862672B true CN104862672B (zh) 2019-02-01

Family

ID=53774443

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510069731.6A Active CN104862672B (zh) 2014-02-11 2015-02-10 衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件

Country Status (4)

Country Link
US (1) US9290843B2 (zh)
KR (1) KR102382275B1 (zh)
CN (1) CN104862672B (zh)
TW (1) TWI658872B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
JP2018528616A (ja) * 2015-09-22 2018-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シャワーヘッド支持構造
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
CN105870040B (zh) * 2016-04-05 2018-09-04 京东方科技集团股份有限公司 一种喷嘴和刻蚀装置
US10483092B2 (en) 2016-04-13 2019-11-19 Lam Research Corporation Baffle plate and showerhead assemblies and corresponding manufacturing method
WO2017209901A2 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. Substrate distance monitoring
US10266947B2 (en) * 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US20180073143A1 (en) * 2016-09-12 2018-03-15 Toshiba Memory Corporation Plasma processing apparatus and plasma processing method
US10607817B2 (en) * 2016-11-18 2020-03-31 Applied Materials, Inc. Thermal repeatability and in-situ showerhead temperature monitoring
KR102269342B1 (ko) * 2016-12-19 2021-06-28 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US10190216B1 (en) * 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
DE102017126448A1 (de) 2017-11-10 2019-05-16 Aixtron Se Vorrichtung und Verfahren zur Nachjustierung eines Gaseinlassorgans in einem Reaktorgehäuse
CN108330468B (zh) * 2018-03-14 2023-06-30 深圳市志橙半导体材料有限公司 一种化学气相沉积炉的基体支撑装置及基体旋转驱动装置
CN111211067A (zh) * 2018-11-22 2020-05-29 东泰高科装备科技有限公司 工艺腔室和半导体处理设备
CN111383892B (zh) * 2018-12-29 2023-03-07 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体喷淋头的接地连接结构
KR102444121B1 (ko) * 2020-03-31 2022-09-19 주식회사 에프에스 웨이퍼 처리 장치 및 이의 처리방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447282B1 (en) * 1999-07-28 2002-09-10 Toshiba Kikai Kabushiki Kaisha Apparatus for swiveling injection unit of electric motor-driven injection molding machine
CN1814854A (zh) * 2005-01-31 2006-08-09 三星Sdi株式会社 蒸发源和采用该蒸发源的蒸镀装置
US20090308316A1 (en) * 2008-06-16 2009-12-17 Jae-Wan Park Transfer apparatus and organic deposition device with the same
CN201962357U (zh) * 2010-12-27 2011-09-07 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) * 1962-03-15
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
KR970005686B1 (ko) * 1994-04-28 1997-04-18 한국베리안 주식회사 박막열처리 장치
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US20050208774A1 (en) * 2004-01-08 2005-09-22 Akira Fukunaga Wet processing method and processing apparatus of substrate
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100852200B1 (ko) * 2004-06-03 2008-08-13 도쿄엘렉트론가부시키가이샤 플라즈마 cⅴd 장치
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8033001B2 (en) 2007-12-31 2011-10-11 Texas Instruments Incorporated CVD showerhead alignment apparatus
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8382939B2 (en) 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US9484233B2 (en) 2012-04-13 2016-11-01 Novellus Systems, Inc. Carousel reactor for multi-station, sequential processing systems
US8895452B2 (en) 2012-05-31 2014-11-25 Lam Research Corporation Substrate support providing gap height and planarization adjustment in plasma processing chamber
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
CN203255370U (zh) * 2013-04-25 2013-10-30 无锡市培力机械制造有限公司 一种生产纺织橡胶皮辊及皮圈的双头包膜机
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6447282B1 (en) * 1999-07-28 2002-09-10 Toshiba Kikai Kabushiki Kaisha Apparatus for swiveling injection unit of electric motor-driven injection molding machine
CN1814854A (zh) * 2005-01-31 2006-08-09 三星Sdi株式会社 蒸发源和采用该蒸发源的蒸镀装置
US20090308316A1 (en) * 2008-06-16 2009-12-17 Jae-Wan Park Transfer apparatus and organic deposition device with the same
CN201962357U (zh) * 2010-12-27 2011-09-07 中芯国际集成电路制造(上海)有限公司 化学气相沉积设备
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室

Also Published As

Publication number Publication date
TWI658872B (zh) 2019-05-11
CN104862672A (zh) 2015-08-26
US9290843B2 (en) 2016-03-22
US20150225854A1 (en) 2015-08-13
TW201600177A (zh) 2016-01-01
KR102382275B1 (ko) 2022-04-01
KR20150094537A (ko) 2015-08-19

Similar Documents

Publication Publication Date Title
CN104862672B (zh) 衬底处理装置喷头模块的滚珠丝杠喷头模块调节器组件
US10145010B2 (en) Multi-station plasma reactor with RF balancing
CN104282530B (zh) 包括等温处理区的等离子体处理设备
JP7199414B2 (ja) シャワーヘッドチルト機構
US9034142B2 (en) Temperature controlled showerhead for high temperature operations
CN107078092B (zh) 基板载置台
US11004662B2 (en) Temperature controlled spacer for use in a substrate processing chamber
WO2015034659A1 (en) Tunable temperature controlled electrostatic chuck assembly
US10607819B2 (en) Cleaning method and processing apparatus
KR20150127537A (ko) 기판 처리 장치 및 플라즈마 처리 방법
CN101359580B (zh) 具有感测单元的衬底处理设备
TWI819271B (zh) 用於電漿腔室條件監測的電容感測資料整合的電漿腔室監測系統
JP2023515881A (ja) プラズマチャンバ状態モニタリングのための容量性センサ及び容量性感知場所
KR20220065843A (ko) 예열된 샤워헤드를 포함하는 저온 플라즈마 강화된 화학적 기상 증착 (pecvd) 프로세스
TW202134672A (zh) 用於腔室條件監測的電容感測器殼體
KR20230089877A (ko) 플라즈마 제어 장치 및 플라즈마 처리 시스템
KR20160116171A (ko) 플라즈마 원자층 증착 장치 및 플라즈마 원자층 증착을 이용한 산화물 박막 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant