JP7199414B2 - シャワーヘッドチルト機構 - Google Patents

シャワーヘッドチルト機構 Download PDF

Info

Publication number
JP7199414B2
JP7199414B2 JP2020503986A JP2020503986A JP7199414B2 JP 7199414 B2 JP7199414 B2 JP 7199414B2 JP 2020503986 A JP2020503986 A JP 2020503986A JP 2020503986 A JP2020503986 A JP 2020503986A JP 7199414 B2 JP7199414 B2 JP 7199414B2
Authority
JP
Japan
Prior art keywords
showerhead
screw
plate
lock
threaded portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020503986A
Other languages
English (en)
Other versions
JP2020529126A (ja
Inventor
ルオ・ビン
トーマス・ティモシー・スコット
スレビン・ダミエン
カンプ・デビッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020529126A publication Critical patent/JP2020529126A/ja
Priority to JP2022202704A priority Critical patent/JP7439229B2/ja
Application granted granted Critical
Publication of JP7199414B2 publication Critical patent/JP7199414B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Vaporization, Distillation, Condensation, Sublimation, And Cold Traps (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体基板を処理するために用いられる半導体基板処理装置に関し、薄膜の化学気相堆積の実施において特に用いられうる。
半導体基板処理装置は、物理気相堆積(PVD)処理、化学気相堆積(CVD)処理、プラズマ強化化学気相堆積(PECVD)処理、原子層堆積(ALD)処理、プラズマ強化原子層堆積(PEALD)処理、パルス堆積層(PDL)処理、分子層堆積(MLD)処理、プラズマ強化パルス堆積層(PEPDL)処理、エッチング、およびレジスト除去を含む技術によって、半導体基板を処理するのに用いられる。半導体基板を処理するのに用いられる半導体基板処理装置の一種は、シャワーヘッドモジュールと、内部で半導体基板を支持する基板台座モジュールとを含む反応チャンバを備える。シャワーヘッドモジュールは、半導体基板が処理されうるようにプロセスガスを反応チャンバに供給する。そのようなチャンバでは、シャワーヘッドモジュールの設置および除去は時間がかかり、さらに、シャワーヘッドモジュールの下面が基板台座モジュールの上面と平行でない場合は、基板処理の間に不均一な成膜(すなわち、方位変動)を引き起こしうる。
本明細書に開示されるのは、半導体基板を処理するための半導体基板処理装置であって、(a)個々の半導体基板が処理され、その上壁を形成するトッププレートを備える化学隔離チャンバと、(b)少なくとも1つのプロセスガスを化学隔離チャンバに供給するための、化学隔離チャンバと流体連通するプロセスガス源と、(c)プロセスガスをプロセスガス源から個々の半導体基板が処理される処理装置の処理区域に供給するシャワーヘッドモジュールであって、ステムの下端部に取り付けられたベースを備え、貫通するガス通路を有するフェースプレートはベースの下面を形成し、ステムはトッププレートの垂直延伸穴を通って延びる、シャワーヘッドモジュールと、(d)基板処理の間に、フェースプレート下方の処理区域で半導体基板を支持するように構成された基板台座モジュールと、(e)シャワーヘッドモジュールを支持するベローズアセンブリであって、カラー、ベローズ、およびレベリングプレートを備え、カラーは、トッププレートの穴と一致する中央開口部を有し、ベローズは、カラーの中央開口部を囲み、カラーの上面に取り付けられた下端部、および、レベリングプレートの下面に取り付けられた上端部を有し、レベリングプレートは、トッププレートの穴と一致する中央開口部を有する、ベローズアセンブリと、(f)フェースプレートに隣接する基板台座モジュールの上面に対するシャワーヘッドモジュールのフェースプレートの傾きを調整するように動作可能な少なくとも1つのシャワーヘッドチルト調整機構であって、シャワーヘッドチルト調整機構は、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、中空ネジは、その外面に第1のネジ部および外面に第2のネジ部を有し、第1のネジ部は、第2のネジ部のネジピッチとは異なるネジピッチを有し、第1のネジ部は、レベリングプレートの中通し穴と係合し、第2のネジ部は、ロックナットの雌ネジと係合し、ロックネジは、カラーのネジ穴と係合する下方雄ネジ部、および、上部ソケットの肩を中空ネジに係合させる上部ネジ頭を有し、ロックプレートは、ロックナットが中空ネジと共に回転する第1の位置からロックナットが回転できない第2の位置まで移動可能であり、シャワーヘッドチルト調整機構は、ロックプレートが第1の位置にあるときには粗調整を提供し、ロックプレートが第2の位置にあるときには微調整を提供する、シャワーヘッドチルト調整機構と、を備える。
少なくとも1つのシャワーヘッドチルト調整機構は、120°離れた位置でベローズから外向きに間隔を空けた3つのシャワーヘッドチルト調整機構を含むことが好ましい。ロックプレートは、第1の位置と第2の位置との間で径方向に移動可能である、および/または、レベリングプレートは、その内面にネジ穴を有する上向きに延びる筒状部を備えうる。ロックプレートは、カラーから外向きに延びるその外端部にハンドルと、ロックナットを係合しうるその内端部に幅広スロットと、幅広スロットから外向きに延びる幅狭スロットと、幅狭スロットを通って延び、カラーに挿通されるロックプレートネジであって、ロックプレートの動きを妨げるためにロックプレートに締結されうるネジ頭を有するロックプレートネジと、を備えうる。好ましい実施形態では、シャワーヘッドチルト調整機構は、ロックプレートが第1の位置にあるときは、中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、ロックプレートが第2の位置にあるときは、中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる。
一実施形態では、半導体基板処理装置におけるシャワーヘッドの傾きによる面内歪み(IPD)を制御する方法は、(a)半導体基板処理装置の処理チャンバで処理されたウエハ全体のIPD変化を測定することと、(b)IPDの粗調整および微調整を提供するように構成された3つのシャワーヘッドチルト調整機構を用いて、半導体基板処理装置のシャワーヘッドの傾きを調整することとを含み、各シャワーヘッドチルト調整機構は、シャワーヘッドに取り付けられた可動部品と処理チャンバの固定部品との間のギャップを変更するように配置された、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、(c)中空ネジは、その外側面に第1のネジ部および外側面に第2のネジ部を有し、第1のネジ部は、第2のネジ部のネジピッチとは異なるネジピッチを有し、第1のネジ部は、可動部品の中通し穴と係合し、第2のネジ部は、ロックナットの雌ネジと係合し、(d)ロックネジは、固定部品の穴に挿通する下端部、および、上部ソケットの肩を中空ネジに係合させる上部ネジ頭を有し、(e)ロックプレートは、ロックナットが中空ネジと共に回転する第1の位置からロックナットが回転できない第2の位置まで移動可能であり、シャワーヘッドチルト調整機構は、ロックプレートが第1の位置にあるときには粗調整を提供し、ロックプレートが第2の位置にあるときには微調整を提供する。粗調整をする際は、1つのシャワーヘッドチルト調整機構のロックプレートは、第1の位置に設置され、中空ネジは、第1の径方向位置に回転されうる。微調整をする際は、ロックプレートは、第2の位置に移動され、中空ネジは、IPDが低減される第2の径方向位置に回転されうる。中空ネジの上部ソケットは、ソケットの壁を貫通するスロットを備えることができ、この方法は、さらに、上部アライメントマークを有するインジケータキャップを中空ネジに設置して、インジケータキャップの突部がスロットに嵌合するようにすることと、アライメントマークの事前調整角度を記録することと、インジケータキャップを取り外してIPD調整を行うことと、インジケータキャップを中空ネジに設置してアライメントマークの調整後角度を記録することとを含みうる。同じ向きを有する第1のネジ部および第2のネジ部を用いることで、各シャワーヘッドチルト調整機構は、ロックプレートが第1の位置にあるときは、中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、ロックプレートが第2の位置にあるときは、中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる。
本明細書に開示の実施形態による化学堆積装置の概観を示す概略図。
薄膜形成の間の堆積および/または反応種間の表面反応を促進するためにプラズマが用いられうる本明細書に開示の実施形態を実施するために配置された様々な装置部品を表すブロック図。
本明細書に開示の実施形態に従って配置されたシャワーヘッドモジュールの断面図。 本明細書に開示の実施形態に従って配置されたシャワーヘッドモジュールの上面図。
本明細書に開示の実施形態に従って、ロックナットに係合されていないロックプレートを用いて粗調整がどのように実行されるかを示すギャップ調整配置図。 本明細書に開示の実施形態に従って、ロックナットに係合されていないロックプレートを用いて粗調整がどのように実行されるかを示すギャップ調整配置図。 本明細書に開示の実施形態に従って、ロックナットに係合されたロックプレートを用いて微調整がどのように実行されるかを示すギャップ調整配置図。 本明細書に開示の実施形態に従って、ロックナットに係合されたロックプレートを用いて微調整がどのように実行されるかを示すギャップ調整配置図。
本明細書に開示の実施形態に従ってインジケータキャップがどのように中空調整ネジに嵌合するかを示す図。
ギャップ調整後に回転角度を示すために中空調整ネジに取り付けられるインジケータキャップを示す図。
以下の発明を実施するための形態では、本明細書に開示の装置および方法の十分な理解を提供するために、多くの特定の実施形態が記載される。しかし、当業者には明らかなように、本実施形態は、それらの特定の詳細なしで、または、代替の要素またはプロセスを用いて実施されてよい。他の例では、周知のプロセス、手順、および/または構成要素は、本明細書に開示の実施形態の態様を不必要に曖昧にしないように詳細には説明されていない。数値に関して本明細書で用いられる用語「約」は、±10%を意味する。
記載のように、本実施形態は、堆積装置(または、別の実施形態ではエッチング装置)などの半導体基板処理装置、および、プラズマ強化化学気相堆積などの化学気相堆積を実施するための関連方法を提供する。この装置および方法は、特に、多段階堆積プロセス(例えば、原子層堆積(ALD)プロセス、プラズマ強化原子層堆積(PEALD)プロセス、プラズマ強化化学気相堆積(PECVD)プロセス、パルス堆積層(PDL)プロセス、分子層堆積(MLD)プロセス、またはプラズマ強化パルス堆積層(PEPDL)プロセス)において自己制御的な堆積ステップの分離を必要とする、半導体製造に基づく誘電体堆積プロセスまたは金属堆積プロセスと併せて用いることが可能であるが、それらに限定されない。半導体基板を処理するための方法の実施形態例は、その全てが参照により本明細書に援用される、本発明の譲渡人に譲渡された米国公開特許出願第2013/0230987号、第2013/0005140号、および第2013/0319329号、ならびに、米国特許第8,580,697号、第8,431,033号、および第8,557,712号に見ることができる。
前述のプロセスは、プロセスガス前駆体または反応剤などのプロセスガスからの堆積膜を受けるウエハまたは半導体基板の上面への不均一なプロセスガスの供給に関連するいくつかの不利益を被りうる。例えば、プロセスガスを半導体基板に供給するシャワーヘッドモジュールの下面が、半導体基板を支持する基板台座モジュールの上面と平行でない場合は、半導体基板の上面への不均一な前駆体の分配が起こりうる。ウエハ上の膜のいくつかの特性(すなわち、IPD、NU%、応力など)は、シャワーヘッドと台座との間のギャップ/レベリングに影響される。これらの特性間の感度およびギャップ/レベリングは、異なるプロセスごとに異なる。そして時に、通常の分解能は機能しない。この問題に対応するために、本明細書では、極微分解能のギャップ調整を成膜装置に提供しうる改善されたレベリングプロセスが説明される。
一般に、CVDシャワーヘッドモジュールの2つの主なタイプには、シャンデリア型および埋め込み型がある。シャンデリア型シャワーヘッドモジュールは、一端が反応チャンバのトッププレートに、他端がフェースプレートに取り付けられたステムを有し、シャンデリアに似ている。ステムの一部は、トッププレートの上方に突出して、ガスラインの接続および無線周波数(「RF」)電力回路への接続を可能にしてよい。埋め込み型シャワーヘッドモジュールは、チャンバの天井に統合され、ステムを有しない。本明細書に示される例はシャンデリア型シャワーヘッドであるが、シャワーヘッドモジュールは、このタイプのシャワーヘッドに限定されない。
シャワーヘッドモジュールのレベリング(平坦化)は、通常、装置の反応チャンバ(化学分離チャンバ)の冷却および排気を含むウェット洗浄工程後に1回または複数回実施される。冷却および排気は、台座モジュールの上面に対するシャワーヘッドの下面の平坦化同様に、シャワーヘッドと基板台座モジュールとの間の空間を調節するために、チャンバの内部にアクセスする必要があるだろう。従来の技術は、金属箔ボールをチャンバに設置してシャワーヘッドモジュールと基板台座モジュールとの間のギャップを測定し、次に、測定値に基づいて、シャワーヘッドモジュールのバッキングプレートと反応チャンバのトッププレートとの間のスタンドオフの数(通常、3以上)を調整することを含む。スタンドオフは、チャンバの排気および冷却後にトッププレートを開放することによってのみ調整されうる。シャワーヘッドモジュールが所定レベルになる前に、複数の測定調節サイクルが実施されてよい。シャワーヘッドは、外部操作によって平坦化できないため、プロセスは、最大約20時間と非常に時間がかかる可能性がある。
一実施形態では、ギャップ調整は、異なるネジ山を有するネジを用いて実施される。この適用では、1つのネジおよび2つのナットが用いられる。ネジは、異なるが密なピッチの2つのネジ山を有する。各ナットは、ネジと一致する1つのピッチを有する。2対のネジを用いるため、最後のピッチは、2対のネジの2つのピッチの差/合計である。2つのネジが同じ向きのときは、それらは微小分解能を提供できる。一方、2つのネジが反対向きのときは、それらは極粗分解能を提供できる。両方のナットを締めることによって、通常でない分解能が得られ、1つのナットだけを締めてもう1つを自由に回転させておくことによって、通常の分解能が得られる。よって、異なるネジを用いることによって、ウエハ/台座に対するシャワーヘッドのギャップおよび他のギャップは、通常のギャップ調整方法に比べて、より細かい/より粗い分解能で調整されうる。このようにして、ギャップは、より正確に、またはより速く調整されうる。従って、ウエハ/台座に対するシャワーヘッドのギャップは、より正確に調整されることができ、ウエハ上の膜のIPD/NU%などに大きな影響を与える。
一実施形態に従い、ウエハ/台座に対するシャワーヘッドのギャップを調整するのに異なるネジ山が用いられる。このようにして、極微/極粗の分解能が提供されうる。シャワーヘッドモジュールでは、取り付けプレートを変更することなく追加のナットが追加されることで、より改良可能な/節約型のチルト調整配置が提供されうる。例として、ネジの2つのネジ山が同じ向きのときは極微分解能が得られ、それらが反対向きのときは極粗分解能が得られる。別の配置では、最終分解能は、2つのネジ山の分解能を変更することによって修正されうる。ツールにおける作業の空間的制約のため、追加のナットを扱うのに小さなレンチが用いられることで、特殊設計されたレンチ/ツールの必要性が回避される。小さなレンチは、既存のアセンブリで利用可能なネジによって固定されることができ、調整中にレンチを手動で扱う必要性が回避される。必要な場合は、レンチは移動可能(レンチは、追加のナットを締めるまたは緩める)であるため、調整は、通常でない分解能と通常の分解能との間で変更されうる。チルト調整中の視覚的補助として、レンチ上のマークがその位置(すなわち、ロック位置または自由位置)を示すのに用いられうる。また、単一配向のネジ頭と嵌合するのにコンパスマークを有するキャップが用いられうる。このキャップは取り外し可能で、各調整の前および後に、特定の向きに対してキャップ上にマークが施されうる。次に、回転角度を決定するために、調整の前および後の2つのマーク間の角度が測定されうる。このようにして、長時間の節約が可能なこの適用には、オートギャッピングシステム(「AGS」)ウエハ測定が必要とされない。AGSウエハ測定の考察については、参照として本明細書に援用される、本発明の譲渡人に譲渡された米国公開特許出願第2015/0225854号に見ることができる。
本明細書に開示されるのは、同一ウエハ上でのプロセスステップの間に、反応チャンバの外側から平坦化されるように設計されているシャワーヘッドチルト調整機構に結合されたシャワーヘッドモジュールである。2つ以上の異なる膜材が連続して堆積されるプロセスでは、シャワーヘッドの傾きを動的に調整することは、真空を破壊することなく方位変動を補正する。シャワーヘッドチルト調整機構は、上述した異なるネジ調整配置を含む。
本明細書には、半導体基板処理装置におけるシャワーヘッドの傾きによる面内歪み(IPD)を制御する方法も記載される。この方法は、半導体基板処理装置の処理チャンバで処理されるウエハ全体のIPD変化を測定することと、上述の異なるネジ調節配置を有し、IPDの粗調整および微調整を提供する3つのシャワーヘッドチルト調整機構を用いて半導体基板処理装置のシャワーヘッドの傾きを調整することとを含む。
図1は、本明細書に開示の実施形態による化学気相堆積のための半導体基板処理装置201の概観を示す概略図である。ウエハなどの半導体基板13は、垂直に移動されうるシャワーヘッドモジュール211に対して、同様に昇降されうる可動台座モジュール223の上に載っている。反応物質ガスは、プロセスガス流がマスフローコントローラ229によって制御されるガスライン203を通ってチャンバの処理区域318に導入される。この装置は、用いられる反応ガスの数に応じて、1つ以上のガスラインを有するように改良されてよいことに注意されたい。チャンバは、真空源209に接続されている真空ライン235を通じて排気される。真空源は、真空ポンプであってよい。
本明細書に開示の実施形態は、プラズマ強化化学堆積装置(すなわち、プラズマ強化化学気相堆積(PECVD)装置、プラズマ強化原子層堆積(PEALD)装置、またはプラズマ強化パルス堆積層(PEPDL)装置)で実施されうる。図2は、堆積を促進するのにプラズマが用いられる本明細書に開示の実施形態を実施するために配置された様々な装置部品を表す簡易ブロック図を提供する。図のように、処理区域318は、加熱された基板台座モジュール223と協働するシャワーヘッドモジュール211を備える容量結合プラズマシステムによって生成されたプラズマを含むように機能する。少なくとも1つの高周波(HF)RF発生器204などの整合ネットワーク206に接続されたRF源、および、任意の低周波(LF)RF発生器202は、シャワーヘッドモジュール211に接続される。別の実施形態では、HF発生器204は、基板台座モジュール223に接続されうる。整合ネットワーク206によって供給される電力および周波数は、プロセスガス/蒸気からプラズマを生成するのに十分である。一実施形態では、HF発生器およびLF発生器の両方が用いられ、別の実施形態では、HF発生器のみが用いられる。通常のプロセスでは、HF発生器は、約2~100MHzの周波数で操作され、好ましい実施形態では、13.56MHzまたは27MHzで操作される。LF発生器は、約50kHzから2MHzで操作され、好ましい実施形態では、約350kHzから600kHzで操作される。プロセスパラメータは、チャンバ容積、基板寸法、および他の要素に基づいて調整されてよい。同様に、プロセスガスの流量は、真空チャンバ(反応チャンバ)または処理区域の自由容積に依存してよい。
チャンバ内では、基板台座モジュール223は、薄膜などの材料が堆積されうる基板13を支持する。基板台座モジュール223は、堆積および/またはプラズマ処理反応の間に基板を保持し搬送するためのフォークまたはリフトピンを備えうる。一実施形態では、基板13は、基板台座モジュール223の表面上に載るように構成されてよい。しかし、別の実施形態では、基板台座モジュール223は、基板13を基板台座モジュール223の表面上に保持するための静電チャック、機械チャック、または真空チャックを備えてよい。基板台座モジュール223は、基板13を所望の温度に加熱するためのヒータブロック220と結合されうる。基板13は、堆積される物質に応じて、約25℃から500℃以上の温度で維持される。
特定の実施形態では、堆積中、堆積後処理中、および/または、他のプロセス動作中のプロセス条件を制御するために、システムコントローラ228が用いられる。コントローラ228は、通常、1つ以上のメモリデバイス、および1つ以上のプロセッサを備えるだろう。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラ基板などを含んでよい。
特定の実施形態では、コントローラ228は、この装置の全ての動作を制御する。システムコントローラ228は、処理動作のタイミング、LF発生器202およびHF発生器204の動作の周波数および電力、前駆体および不活性ガスおよびそれらの相対的混合物の流量ならびに温度、ヒータブロック220およびシャワーヘッドモジュール211の温度、チャンバ圧、シャワーヘッドの傾き、ならびに、特定プロセスの他のパラメータを制御するための命令のセットを含むシステム制御ソフトウェアを実行する。コントローラに関連付けられたメモリデバイスに格納された他のコンピュータプログラムは、いくつかの実施形態で用いられてよい。
通常、コントローラ228に関連付けられたユーザインタフェースがあるだろう。ユーザインタフェースは、表示画面、装置および/またはプロセス条件のグラフィックソフトウェア画面、ならびに、ユーザ入力デバイス(ポインティングデバイス、キーボード、タッチ画面、マイクなど)を含んでよい。
非一時的コンピュータ機械可読媒体は、この装置の制御のためのプログラム命令を含みうる。処理動作を制御するためのコンピュータプログラムコードは、既存のコンピュータ可読プログラミング言語(例えば、アセンブリ言語、C、C++、パスカル、フォートラン、またはその他)で書き込まれうる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムにおいて識別されたタスクを実行するためにプロセッサによって実行される。
コントローラのパラメータは、例えば、処理ステップのタイミング、前駆体および不活性ガスの流量および温度、水の温度、チャンバ圧、シャワーヘッドの傾き、ならびに、特定プロセスの他のパラメータなどのプロセス条件に関連する。これらのパラメータは、レシピ形式でユーザに提供され、ユーザインタフェースを用いて入力されてよい。
プロセスを監視するための信号は、システムコントローラのアナログおよび/またはデジタルの入力接続によって提供されてよい。プロセスを制御するための信号は、装置のアナログおよびデジタルの出力接続によって出力される。
システムソフトウェアは、多くの異なる方法で設計または構成されてよい。例えば、様々なチャンバ部品サブルーチンまたは制御オブジェクトは、堆積プロセスを実行するのに必要なチャンバ部品の動作を制御するように書き込まれてよい。この目的のためのプログラムまたはプログラムセクションの例は、処理ステップの基板タイミングコード、前駆体および不活性ガスの流量および温度コード、ならびに、チャンバ圧コードを含む。
シャワーヘッドモジュール211は、温度制御されることが好ましく、台座は、RF給電されることが好ましい。温度制御されたRF給電シャワーヘッドモジュールの実施形態例は、その全てが参照により本明細書に援用される、本発明の譲渡人に譲渡された米国公開特許出願第2013/0316094号に見ることができる。
本明細書に開示の実施形態によると、シャワーヘッドモジュールは、シャワーヘッドモジュールの傾き、角度、ギャップ、および平坦化を手動で調整するためのシャワーヘッドチルト調整機構を備えることが好ましい。図3Aおよび図3Bに示されるように、シャワーヘッドモジュール211は、ステム305、バッキングプレート317およびフェースプレート316を有するベース315、ならびに、シャワーヘッドモジュール211の平坦化を調整するためのシャワーヘッドチルト調整機構400を備えることが好ましい。シャワーヘッドモジュール211の平坦化は、120°離れて位置する3つの調整ネジ405を締めるまたは緩めることによって粗く調整されることもできる。調整ネジ405は、シャワーヘッドモジュール211の傾きおよび軸位置を手動で調整するのに用いられうる粗ネジ山および細ネジ山を備える。調整ネジ405は、以下により詳細に説明されるレベリングプレートのロックナットおよびネジ穴と嵌合する。
一実施形態では、シャワーヘッドモジュール211のフェースプレート316の平坦化は、シャワーヘッド調整機構の一部として3つのチルト調整機構を用いて調整されて、軸方向並進および2方向の傾きという3自由度を手動で提供しうる。図3Aおよび図3Bを参照すると、シャワーヘッドモジュール211は、カラー502、ベローズ504、およびレベリングプレート506を備えるベローズアセンブリ500によって支持されている。冷却プレート508は、レベリングプレート506に取り付けられうる。
図3Aに表わされるように、シャワーヘッドモジュール211は、化学隔離チャンバ(すなわち、反応チャンバ)のトッププレート330において支持されることが好ましい。トッププレート330は、段付き穴においてカラー502を支持することが好ましい。トッププレート330の水平上面は、ネジ山付き開口部などの開口部を有することが好ましく、留め具512を受け入れるための、カラー502における対応する開口部は、カラー502をトッププレート330に取り付ける少なくとも3つの留め具512を備える。カラー502は、トッププレート330のシャワーヘッドチルト調整機構400の残部を支持する。シャワーヘッドチルト調整機構400は、トッププレート330によって電気的に接地されている。
Oリング514は、3つの調整ネジ405によってカラー502の上方で支持されたレベリングプレート506と冷却プレート508との間に気密シール(すなわち、ハーメチックシール)を形成する。3つの調整ネジ405は、カラー502に対する冷却プレート508の平坦化を粗調整するようにも動作可能である。以下により詳細に説明されるように、各調整ネジ405の上端部は、レベリングプレート506のネジ穴に挿通され、それぞれの調整ネジ405の下端部は、ロックプレート518に係合されていないときに調整ネジ405と共に自由に回転できるロックナット516に挿通される、または、ロックナット516は、調整ネジ405が回転するときに一緒に回転しないようにロックプレート518への係合によって締められうる。シャワーヘッドステム305は、カラー502、ベローズ504、およびレベリングプレート506の中央開口部を通って延び、ステム305の上端部は、フェースプレート316が調整ネジ405の回転によって所望の角度に傾くようにレベリングプレート506に取り付けられる。
ベローズ504は、カラー502とレベリングプレート506との間に拡張可能で柔軟な気密真空シールを形成することが好ましく、ステム305は、シャワーヘッドモジュール211の平坦化が拡張可能な気密真空シールを破封することなく調整されるように、拡張可能な気密真空シールを通って延びる。ベローズ504は、上端部でレベリングプレート506に、下端部でカラー502に溶着されることが好ましい。
シャワーヘッドチルト調整機構400は、3つ以上の留め具512によって化学隔離チャンバのトッププレート330に取り付けられてよい。シャワーヘッドチルト調整機構は、3つの異なるネジアセンブリを備えることが好ましく、異なるネジアセンブリの各々は、1自由度の動作を提供する。3つの異なるネジアセンブリは、3自由度の動作(2つの傾きおよび軸位置)を提供するだろう。
図4A~図4Dは、シャワーヘッドチルト調整機構、および、シャワーヘッドチルト機構がギャップの粗調整および微調整をどのようにして提供できるかについて、さらなる詳細を示す。調整ネジ405は、レベリングプレート506の上向きに延びる筒状突部506bにおいて雌ネジ部506aと係合した第1の雄ネジ部405aと、ロックナット516の雌ネジと係合した第2の雄ネジ部405bとを備える。第1のネジ部405aおよび第2のネジ部405bは、同じ向きの異なるネジピッチを有することが好ましい。調整ネジ405の上端部は、六角ネジドライバ(図示せず)などのツールを係合できるソケット405cを備え、ソケット405c上部のスロット405dは、インジケータキャップの突部を受け入れるように構成されている。ボルトなどの留め具520は、下端部520aがカラー502のネジ穴に挿通され、上端部の拡大頭部520bがソケット405cの内部に受け入れられた状態で、調整ネジ405の内側に位置する。頭部520bは、六角ネジドライバなどのツールがソケット405cの残部を係合してギャップ/チルト調整中に調整ネジ405を回転できるように、ソケット405cの下部を満たす。
ロックプレート518は、一端にハンドル518a、対向端に幅広スロット518b、および、幅広スロット518bから延びる幅狭スロット518cを備える。留め具512の軸は、幅狭スロット518cを通って延び、ロックプレート518が径方向内向きにスライドしてロックナット516を係合できるようにする。図4A~図4Bに示されるように、ロックプレート518がロックナット516に係合されていないときは、ロックナット516は調整ネジ405と共に回転して、ギャップの粗調整が提供される。図4C~図4Dに示されるように、ロックプレート518がロックナット516に係合されているときは、ロックナット516は調整ネジ405と共に回転することを防止されて、ギャップの微調整が提供される。ロックプレート518は、ロックプレート518がロックナット516に係合されていない時の視覚的表示(図4A~図4Bに示されるように、レベリングプレート506の外周の外側にある)を備え、ロックプレート518がロックナット516に係合されている時の視覚的表示(図4C~図4Dに示されるように、レベリングプレート506の外周の内側にある)を提供する基準マーク518dを備える。
図5は、調整ネジ405のソケット405cに嵌合されたインジケータキャップ522の詳細を示す。インジケータキャップ522は、調整ネジ405のスロット405dに嵌合する突部522aを備える。ギャップ/チルト調整を行う際に、インジケータキャップ522は、調整ネジ405の上に設置され、その角度位置が記録されうる。次に、インジケータキャップは取り外され、ロックプレート518に係合されていないロックナット516と共に、または、ロックプレート518に係合されているロックナット516と共に調整ネジ405を回転することによって、ギャップ/チルト調整が行われる。ギャップ/チルト調整が完了したときは、インジケータキャップは調整ネジ405の上に設置され、その角度位置が記録される。
図6に示されるように、インジケータキャップは、円形ダイヤル522cから上向きに延びる指針522bを備え、円形ダイヤル522cは、ギャップ/チルト調整の前および後の指針の角度位置の視覚的表示を提供する、円周方向に間隔を空けて配置された表示マーク522dを有する。
調整ネジ405は、シャワーヘッドモジュール211の位置を粗調整および微調整するのに用いられてもよい。ネジピッチの選択に応じて、調整ネジ405の全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)の範囲の粗調整、および、約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)の範囲の微調整が実現されうる。例えば、粗調整は、調整ネジの全回転ごとに0.03125インチ(0.079375センチメートル)であり、微調整は、調整ネジの全回転ごとに0.0035インチ(0.00889センチメートル)でありうる。
チルト調整配置を備える半導体基板処理装置がその特定の実施形態を参照にして詳細に説明されたが、添付の特許請求の範囲から逸脱することなく様々な変更および修正が行われ、同等物が採用されてよいことは当業者には明らかだろう。本発明は以下の適用例としても実現できる。
[適用例1]
半導体基板を処理するための半導体基板処理装置であって、
個々の半導体基板が処理される化学隔離チャンバであって、前記化学隔離チャンバの上壁を形成するトッププレートを備える化学隔離チャンバと、
少なくとも1つのプロセスガスを前記化学隔離チャンバに供給するための、前記化学隔離チャンバと流体連通するプロセスガス源と、
前記プロセスガスを前記プロセスガス源から前記個々の半導体基板が処理される前記処理装置の処理区域に供給するシャワーヘッドモジュールであって、前記シャワーヘッドモジュールは、ステムの下端部に取り付けられたベースを備え、貫通するガス通路を有するフェースプレートは前記ベースの下面を形成し、前記ステムは前記トッププレートの垂直延伸穴を通って延びる、シャワーヘッドモジュールと、
前記基板の処理の間に、前記フェースプレート下方の前記処理区域で前記半導体基板を支持するように構成された基板台座モジュールと、
前記シャワーヘッドモジュールを支持するベローズアセンブリであって、カラー、ベローズ、およびレベリングプレートを備え、前記カラーは、前記トッププレートの前記穴と一致する中央開口部を有し、前記ベローズは、前記カラーの前記中央開口部を囲み、前記カラーの上面に取り付けられた下端部と、前記レベリングプレートの下面に取り付けられた上端部とを有し、前記レベリングプレートは、前記トッププレートの前記穴と一致する中央開口部を有する、ベローズアセンブリと、
前記フェースプレートに隣接する前記基板台座モジュールの上面に対する前記シャワーヘッドモジュールの前記フェースプレートの傾きを調整するように動作可能な少なくとも1つのシャワーヘッドチルト調整機構であって、前記シャワーヘッドチルト調整機構は、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、前記中空ネジは、その外面に第1のネジ部、および、前記外面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記レベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、前記ロックネジは、前記カラーのネジ穴と係合する下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、半導体基板処理装置。
[適用例2]
適用例1に記載の半導体基板処理装置であって、
前記少なくとも1つのシャワーヘッドチルト調整機構は、120°離れた位置で前記ベローズから外向きに間隔を空けた3つのシャワーヘッドチルト調整機構を含む、半導体基板処理装置。
[適用例3]
適用例1に記載の半導体基板処理装置であって、
前記ロックプレートは、前記第1の位置と前記第2の位置との間で径方向に移動可能である、半導体基板処理装置。
[適用例4]
適用例1に記載の半導体基板処理装置であって、
レベリングプレートは、その内面に前記ネジ穴を有する、上向きに延びる筒状部を備える、半導体基板処理装置。
[適用例5]
適用例1に記載の半導体基板処理装置であって、
前記ロックプレートは、前記カラーから外向きに延びるその外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、前記幅狭スロットを通って延び、前記カラーに挿通されたロックプレートネジであって、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有するロックプレートネジと、を備える、半導体基板処理装置。
[適用例6]
適用例1に記載の半導体基板処理装置であって、
前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる、半導体基板処理装置。
[適用例7]
ベローズアセンブリによって半導体基板処理装置のトッププレートに支持されたシャワーヘッドモジュールのギャップの粗調整および微調整を提供するように構成されたシャワーヘッドチルト調整機構であって、
ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、
前記中空ネジは、その外側面に第1のネジ部、および、前記外側面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記ベローズアセンブリのレベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、
前記ロックネジは、前記ベローズアセンブリのカラーのネジ穴と係合するように構成された下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、
前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、シャワーヘッドチルト調整機構。
[適用例8]
適用例7に記載のシャワーヘッドチルト調整機構であって、
前記中空ネジの前記第1のネジ部および前記第2のネジ部は、同じ向きを有する、シャワーヘッドチルト調整機構。
[適用例9]
適用例7に記載のシャワーヘッドチルト調整機構であって、
前記ロックプレートは、その外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、を備え、前記幅狭スロットは、前記カラーに挿通するロックプレートネジを受け入れるように構成され、前記ロックプレートネジは、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有する、シャワーヘッドチルト調整機構。
[適用例10]
適用例7に記載のシャワーヘッドチルト調整機構であって、
前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる、シャワーヘッドチルト調整機構。
[適用例11]
プロセスガスをプロセスガス源から個々の半導体基板が処理される半導体基板処理装置の処理区域に供給するシャワーヘッドモジュールであって、
ステムの下端部に取り付けられたベースであって、貫通するガス通路を有するフェースプレートは、前記ベースの下面を形成し、前記ステムは、前記処理装置のトッププレートの垂直延伸穴を通って延びるように構成されている、ベースと、
前記シャワーヘッドモジュールを支持するベローズアセンブリであって、カラー、ベローズ、およびレベリングプレートを備え、前記カラーは、前記トッププレートの前記穴と一致する中央開口部を有し、前記ベローズは、前記カラーの前記中央開口部を囲み、前記カラーの上面に取り付けられた下端部と、前記レベリングプレートの下面に取り付けられた上端部とを有し、前記レベリングプレートは、前記トッププレートの前記穴と一致する中央開口部を有する、ベローズアセンブリと、
前記シャワーヘッドモジュールの前記フェースプレートの傾きを調整するように動作可能な少なくとも1つのシャワーヘッドチルト調整機構であって、前記シャワーヘッドチルト調整機構は、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、前記中空ネジは、その外面に第1のネジ部、および、前記外面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記レベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、前記ロックネジは、前記カラーのネジ穴と係合する下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、シャワーヘッドモジュール。
[適用例12]
適用例11に記載のシャワーヘッドモジュールであって、
前記少なくとも1つのシャワーヘッドチルト調整機構は、120°離れた位置で前記ベローズから外向きに間隔を空けた3つのシャワーヘッドチルト調整機構を含む、シャワーヘッドモジュール。
[適用例13]
適用例11に記載のシャワーヘッドモジュールであって、
前記ロックプレートは、前記第1の位置と前記第2の位置との間で径方向に移動可能である、シャワーヘッドモジュール。
[適用例14]
適用例11に記載のシャワーヘッドモジュールであって、
前記レベリングプレートは、その内面に前記ネジ穴を有する、上向きに延びる筒状部を備える、シャワーヘッドモジュール。
[適用例15]
適用例11に記載のシャワーヘッドモジュールであって、
前記ロックプレートは、前記カラーから外向きに延びるその外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、前記幅狭スロットを通って延び、前記カラーに挿通されたロックプレートネジであって、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有するロックプレートネジと、を備える、シャワーヘッドモジュール。
[適用例16]
適用例15に記載のシャワーヘッドモジュールであって、
前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる、シャワーヘッドモジュール。
[適用例17]
半導体基板処理装置におけるシャワーヘッドの傾きによる面内歪み(IPD)を制御する方法であって、
前記半導体基板処理装置の処理チャンバで処理されたウエハ全体のIPD変化を測定することと、
IPDの粗調整および微調整を提供するように構成された3つのシャワーヘッドチルト調整機構を用いて、前記半導体基板処理装置のシャワーヘッドの傾きを調整することであって、前記シャワーヘッドチルト調整機構の各々は、前記シャワーヘッドに取り付けられた可動部品と前記処理チャンバの固定部品との間のギャップを変更するように配置された、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備えることと、を含み、
前記中空ネジは、その外側面に第1のネジ部および前記外側面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記可動部品の中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、
前記ロックネジは、前記固定部品の穴に挿通する下方端部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、
前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、方法。
[適用例18]
適用例17に記載の方法であって、
1つの前記シャワーヘッドチルト調整機構の前記ロックプレートを前記第1の位置に設置し、前記中空ネジを第1の径方向位置に回転することによって粗調整を行うことと、前記ロックプレートを前記第2の位置に移動し、前記中空ネジを前記IPDが低減される第2の径方向位置に回転することによって微調整を行うことと、を含む、方法。
[適用例19]
適用例18に記載の方法であって、
スロットは、前記ソケットの壁を通って延び、前記方法は、さらに、上部アライメントマークを有するインジケータキャップを前記中空ネジに設置して、前記インジケータキャップの突部が前記スロットに嵌合するようにすることと、アライメントマークの事前調整角度を記録することと、前記インジケータキャップを取り外して前記IPD調整を行うことと、前記インジケータキャップを前記中空ネジに設置して前記アライメントマークの調整後角度を記録することと、を含む、方法。
[適用例20]
適用例17に記載の方法であって、
前記第1のネジ部および前記第2のネジ部は、同じ向きを有し、前記シャワーヘッドチルト調整機構の各々は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに約0.02インチ(約0.0508センチメートル)から約0.04インチ(約0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに約0.002インチ(約0.00508センチメートル)から約0.004インチ(約0.01016センチメートル)のギャップの微調整を提供しうる、方法。

Claims (20)

  1. 半導体基板を処理するための半導体基板処理装置であって、
    個々の半導体基板が処理される化学隔離チャンバであって、前記化学隔離チャンバの上壁を形成するトッププレートを備える化学隔離チャンバと、
    少なくとも1つのプロセスガスを前記化学隔離チャンバに供給するための、前記化学隔離チャンバと流体連通するプロセスガス源と、
    前記プロセスガスを前記プロセスガス源から前記個々の半導体基板が処理される前記処理装置の処理区域に供給するシャワーヘッドモジュールであって、前記シャワーヘッドモジュールは、ステムの下端部に取り付けられたベースを備え、貫通するガス通路を有するフェースプレートは前記ベースの下面を形成し、前記ステムは前記トッププレートの垂直延伸穴を通って延びる、シャワーヘッドモジュールと、
    前記基板の処理の間に、前記フェースプレート下方の前記処理区域で前記半導体基板を支持するように構成された基板台座モジュールと、
    前記シャワーヘッドモジュールを支持するベローズアセンブリであって、カラー、ベローズ、およびレベリングプレートを備え、前記カラーは、前記トッププレートの前記穴と一致する中央開口部を有し、前記ベローズは、前記カラーの前記中央開口部を囲み、前記カラーの上面に取り付けられた下端部と、前記レベリングプレートの下面に取り付けられた上端部とを有し、前記レベリングプレートは、前記トッププレートの前記穴と一致する中央開口部を有する、ベローズアセンブリと、
    前記フェースプレートに隣接する前記基板台座モジュールの上面に対する前記シャワーヘッドモジュールの前記フェースプレートの傾きを調整するように動作可能な少なくとも1つのシャワーヘッドチルト調整機構であって、前記シャワーヘッドチルト調整機構は、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、前記中空ネジは、その外面に第1のネジ部、および、前記外面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記レベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、前記ロックネジは、前記カラーのネジ穴と係合する下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、半導体基板処理装置。
  2. 請求項1に記載の半導体基板処理装置であって、
    前記少なくとも1つのシャワーヘッドチルト調整機構は、120°離れた位置で前記ベローズから外向きに間隔を空けた3つのシャワーヘッドチルト調整機構を含む、半導体基板処理装置。
  3. 請求項1に記載の半導体基板処理装置であって、
    前記ロックプレートは、前記第1の位置と前記第2の位置との間で径方向に移動可能である、半導体基板処理装置。
  4. 請求項1に記載の半導体基板処理装置であって、
    レベリングプレートは、その内面に前記ネジ穴を有する、上向きに延びる筒状部を備える、半導体基板処理装置。
  5. 請求項1に記載の半導体基板処理装置であって、
    前記ロックプレートは、前記カラーから外向きに延びるその外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、前記幅狭スロットを通って延び、前記カラーに挿通されたロックプレートネジであって、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有するロックプレートネジと、を備える、半導体基板処理装置。
  6. 請求項1に記載の半導体基板処理装置であって、
    前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに0.02インチ(0.0508センチメートル)から0.04インチ(0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに0.002インチ(0.00508センチメートル)から0.004インチ(0.01016センチメートル)のギャップの微調整を提供しうる、半導体基板処理装置。
  7. ベローズアセンブリによって半導体基板処理装置のトッププレートに支持されたシャワーヘッドモジュールのギャップの粗調整および微調整を提供するように構成されたシャワーヘッドチルト調整機構であって、
    ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、
    前記中空ネジは、その外側面に第1のネジ部、および、前記外側面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記ベローズアセンブリのレベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、
    前記ロックネジは、前記ベローズアセンブリのカラーのネジ穴と係合するように構成された下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、
    前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、シャワーヘッドチルト調整機構。
  8. 請求項7に記載のシャワーヘッドチルト調整機構であって、
    前記中空ネジの前記第1のネジ部および前記第2のネジ部は、同じ向きを有する、シャワーヘッドチルト調整機構。
  9. 請求項7に記載のシャワーヘッドチルト調整機構であって、
    前記ロックプレートは、その外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、を備え、前記幅狭スロットは、前記カラーに挿通するロックプレートネジを受け入れるように構成され、前記ロックプレートネジは、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有する、シャワーヘッドチルト調整機構。
  10. 請求項7に記載のシャワーヘッドチルト調整機構であって、
    前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに0.02インチ(0.0508センチメートル)から0.04インチ(0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに0.002インチ(0.00508センチメートル)から0.004インチ(0.01016センチメートル)のギャップの微調整を提供しうる、シャワーヘッドチルト調整機構。
  11. プロセスガスをプロセスガス源から個々の半導体基板が処理される半導体基板処理装置の処理区域に供給するシャワーヘッドモジュールであって、
    ステムの下端部に取り付けられたベースであって、貫通するガス通路を有するフェースプレートは、前記ベースの下面を形成し、前記ステムは、前記処理装置のトッププレートの垂直延伸穴を通って延びるように構成されている、ベースと、
    前記シャワーヘッドモジュールを支持するベローズアセンブリであって、カラー、ベローズ、およびレベリングプレートを備え、前記カラーは、前記トッププレートの前記穴と一致する中央開口部を有し、前記ベローズは、前記カラーの前記中央開口部を囲み、前記カラーの上面に取り付けられた下端部と、前記レベリングプレートの下面に取り付けられた上端部とを有し、前記レベリングプレートは、前記トッププレートの前記穴と一致する中央開口部を有する、ベローズアセンブリと、
    前記シャワーヘッドモジュールの前記フェースプレートの傾きを調整するように動作可能な少なくとも1つのシャワーヘッドチルト調整機構であって、前記シャワーヘッドチルト調整機構は、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備え、前記中空ネジは、その外面に第1のネジ部、および、前記外面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記レベリングプレートの中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、前記ロックネジは、前記カラーのネジ穴と係合する下方雄ネジ部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、シャワーヘッドモジュール。
  12. 請求項11に記載のシャワーヘッドモジュールであって、
    前記少なくとも1つのシャワーヘッドチルト調整機構は、120°離れた位置で前記ベローズから外向きに間隔を空けた3つのシャワーヘッドチルト調整機構を含む、シャワーヘッドモジュール。
  13. 請求項11に記載のシャワーヘッドモジュールであって、
    前記ロックプレートは、前記第1の位置と前記第2の位置との間で径方向に移動可能である、シャワーヘッドモジュール。
  14. 請求項11に記載のシャワーヘッドモジュールであって、
    前記レベリングプレートは、その内面に前記ネジ穴を有する、上向きに延びる筒状部を備える、シャワーヘッドモジュール。
  15. 請求項11に記載のシャワーヘッドモジュールであって、
    前記ロックプレートは、前記カラーから外向きに延びるその外端部にハンドルと、前記ロックナットを係合しうるその内端部に幅広スロットと、前記幅広スロットから外向きに延びる幅狭スロットと、前記幅狭スロットを通って延び、前記カラーに挿通されたロックプレートネジであって、前記ロックプレートの動きを妨げるために前記ロックプレートに締結されうるネジ頭を有するロックプレートネジと、を備える、シャワーヘッドモジュール。
  16. 請求項15に記載のシャワーヘッドモジュールであって、
    前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに0.02インチ(0.0508センチメートル)から0.04インチ(0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに0.002インチ(0.00508センチメートル)から0.004インチ(0.01016センチメートル)のギャップの微調整を提供しうる、シャワーヘッドモジュール。
  17. 半導体基板処理装置におけるシャワーヘッドの傾きによる面内歪み(IPD)を制御する方法であって、
    前記半導体基板処理装置の処理チャンバで処理されたウエハ全体のIPD変化を測定することと、
    IPDの粗調整および微調整を提供するように構成された3つのシャワーヘッドチルト調整機構を用いて、前記半導体基板処理装置のシャワーヘッドの傾きを調整することであって、前記シャワーヘッドチルト調整機構の各々は、前記シャワーヘッドに取り付けられた可動部品と前記処理チャンバの固定部品との間のギャップを変更するように配置された、ロックネジ、中空ネジ、ロックプレート、およびロックナットを備えることと、を含み、
    前記中空ネジは、その外側面に第1のネジ部および前記外側面に第2のネジ部を有し、前記第1のネジ部は、前記第2のネジ部のネジピッチとは異なるネジピッチを有し、前記第1のネジ部は、前記可動部品の中通し穴と係合し、前記第2のネジ部は、前記ロックナットの雌ネジと係合し、
    前記ロックネジは、前記固定部品の穴に挿通する下方端部、および、上部ソケットの肩を前記中空ネジに係合させる上部ネジ頭を有し、
    前記ロックプレートは、前記ロックナットが前記中空ネジと共に回転する第1の位置から前記ロックナットが回転できない第2の位置まで移動可能であり、前記シャワーヘッドチルト調整機構は、前記ロックプレートが前記第1の位置にあるときには粗調整を提供し、前記ロックプレートが前記第2の位置にあるときには微調整を提供する、方法。
  18. 請求項17に記載の方法であって、
    1つの前記シャワーヘッドチルト調整機構の前記ロックプレートを前記第1の位置に設置し、前記中空ネジを第1の径方向位置に回転することによって粗調整を行うことと、前記ロックプレートを前記第2の位置に移動し、前記中空ネジを前記IPDが低減される第2の径方向位置に回転することによって微調整を行うことと、を含む、方法。
  19. 請求項18に記載の方法であって、
    スロットは、前記ソケットの壁を通って延び、前記方法は、さらに、上部アライメントマークを有するインジケータキャップを前記中空ネジに設置して、前記インジケータキャップの突部が前記スロットに嵌合するようにすることと、アライメントマークの事前調整角度を記録することと、前記インジケータキャップを取り外して前記IPD調整を行うことと、前記インジケータキャップを前記中空ネジに設置して前記アライメントマークの調整後角度を記録することと、を含む、方法。
  20. 請求項17に記載の方法であって、
    前記第1のネジ部および前記第2のネジ部は、同じ向きを有し、前記シャワーヘッドチルト調整機構の各々は、前記ロックプレートが前記第1の位置にあるときは、前記中空ネジの全回転ごとに0.02インチ(0.0508センチメートル)から0.04インチ(0.1016センチメートル)のギャップの粗調整を提供し、前記ロックプレートが前記第2の位置にあるときは、前記中空ネジの全回転ごとに0.002インチ(0.00508センチメートル)から0.004インチ(0.01016センチメートル)のギャップの微調整を提供しうる、方法。
JP2020503986A 2017-07-25 2018-07-16 シャワーヘッドチルト機構 Active JP7199414B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022202704A JP7439229B2 (ja) 2017-07-25 2022-12-20 シャワーヘッドチルト機構

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/658,911 US10190216B1 (en) 2017-07-25 2017-07-25 Showerhead tilt mechanism
US15/658,911 2017-07-25
PCT/US2018/042214 WO2019022978A1 (en) 2017-07-25 2018-07-16 SHOWERHEAD INCLINATION MECHANISM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022202704A Division JP7439229B2 (ja) 2017-07-25 2022-12-20 シャワーヘッドチルト機構

Publications (2)

Publication Number Publication Date
JP2020529126A JP2020529126A (ja) 2020-10-01
JP7199414B2 true JP7199414B2 (ja) 2023-01-05

Family

ID=65032046

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020503986A Active JP7199414B2 (ja) 2017-07-25 2018-07-16 シャワーヘッドチルト機構
JP2022202704A Active JP7439229B2 (ja) 2017-07-25 2022-12-20 シャワーヘッドチルト機構

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022202704A Active JP7439229B2 (ja) 2017-07-25 2022-12-20 シャワーヘッドチルト機構

Country Status (7)

Country Link
US (2) US10190216B1 (ja)
JP (2) JP7199414B2 (ja)
KR (1) KR20200023511A (ja)
CN (2) CN115074700A (ja)
SG (1) SG11202000617PA (ja)
TW (2) TWI816455B (ja)
WO (1) WO2019022978A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7439229B2 (ja) 2017-07-25 2024-02-27 ラム リサーチ コーポレーション シャワーヘッドチルト機構

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
KR20220051236A (ko) * 2019-08-23 2022-04-26 램 리써치 코포레이션 열 제어된 (thermally controlled) 샹들리에 샤워헤드
CN110696322A (zh) * 2019-08-23 2020-01-17 白银有色长通电线电缆有限责任公司 一种挤塑机机头位置调整装置
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
WO2021173274A1 (en) * 2020-02-25 2021-09-02 The Regents Of The University Of Michigan Mechatronic spatial atomic layer deposition system with closed-loop feedback control of parallelism and component alignment
JP2023532425A (ja) * 2020-06-23 2023-07-28 ラム リサーチ コーポレーション 自動シャワーヘッド傾斜調整
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
JP2023117775A (ja) 2022-02-14 2023-08-24 東京エレクトロン株式会社 基板処理装置
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039123A (ja) 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
US20060112884A1 (en) 2004-11-29 2006-06-01 Applied Materials, Inc. High resolution substrate holder leveling device and method
US20150225854A1 (en) 2014-02-11 2015-08-13 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US20170191160A1 (en) 2015-12-31 2017-07-06 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4234177A (en) 1979-04-09 1980-11-18 Mcdougal Thomas F Differential screw device for workholders and the like
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS6373292U (ja) * 1986-10-30 1988-05-16
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JPH0595750U (ja) * 1992-06-01 1993-12-27 セイコー電子工業株式会社 ヘッド位置調整機構
US5522398A (en) 1994-01-07 1996-06-04 Medsol Corp. Bone marrow biopsy needle
US5751537A (en) 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US7413612B2 (en) 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
CN101124663B (zh) * 2004-07-09 2010-12-01 积水化学工业株式会社 用于处理基板的外周部的方法及设备
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
US20080017116A1 (en) 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7368930B2 (en) 2006-08-04 2008-05-06 Formfactor, Inc. Adjustment mechanism
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8257548B2 (en) 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8292243B2 (en) 2008-09-03 2012-10-23 Twin Turbine Technologies Llc Compound differential thread systems
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
DE102009014718A1 (de) 2009-03-27 2010-09-30 Astrium Gmbh Vorrichtung zur Feineinstellung des Abstandes zwischen zwei Elementen
JP5822823B2 (ja) 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
JP5396353B2 (ja) 2009-09-17 2014-01-22 日本碍子株式会社 静電チャック及びその製法
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130316094A1 (en) 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US10401279B2 (en) * 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
CN103745902A (zh) 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005039123A (ja) 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
US20060112884A1 (en) 2004-11-29 2006-06-01 Applied Materials, Inc. High resolution substrate holder leveling device and method
US20150225854A1 (en) 2014-02-11 2015-08-13 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US20170191160A1 (en) 2015-12-31 2017-07-06 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7439229B2 (ja) 2017-07-25 2024-02-27 ラム リサーチ コーポレーション シャワーヘッドチルト機構

Also Published As

Publication number Publication date
JP2023036763A (ja) 2023-03-14
JP7439229B2 (ja) 2024-02-27
KR20200023511A (ko) 2020-03-04
WO2019022978A1 (en) 2019-01-31
US10190216B1 (en) 2019-01-29
TWI772469B (zh) 2022-08-01
US10760160B2 (en) 2020-09-01
CN115074700A (zh) 2022-09-20
CN110997976B (zh) 2022-06-07
US20190032214A1 (en) 2019-01-31
TWI816455B (zh) 2023-09-21
JP2020529126A (ja) 2020-10-01
CN110997976A (zh) 2020-04-10
TW202242189A (zh) 2022-11-01
US20190153601A1 (en) 2019-05-23
TW201930639A (zh) 2019-08-01
SG11202000617PA (en) 2020-02-27

Similar Documents

Publication Publication Date Title
JP7199414B2 (ja) シャワーヘッドチルト機構
US9290843B2 (en) Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10748747B2 (en) Edge exclusion control with adjustable plasma exclusion zone ring
TWI783960B (zh) 具有改良的處理均勻性之基板支撐件
US20090260571A1 (en) Showerhead for chemical vapor deposition
WO2007067254A1 (en) Chamber dry cleaning
TWI794501B (zh) 電漿鞘輪廓偵測系統
TWI801434B (zh) 用以控制電漿輝光放電之定位的方法及用於處理基板之設備
TW202405987A (zh) 用於邊緣環耗損補償的系統和方法
TWI804641B (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
KR20220039792A (ko) 카메라 웨이퍼를 사용한 페데스탈 셋업
TWI776862B (zh) 無螺栓基板支撐組件
JP7497420B2 (ja) カメラウエハを使用した台座セットアップ
TW202114051A (zh) 基板處理系統用的縮小直徑承載環硬件
KR20090122694A (ko) 화학 기상 증착 장치
KR20070034701A (ko) 정전척 높이조절이 가능한 화학기상증착장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210705

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221121

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221220

R150 Certificate of patent or registration of utility model

Ref document number: 7199414

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150