CN115074700A - 喷头倾斜机构 - Google Patents

喷头倾斜机构 Download PDF

Info

Publication number
CN115074700A
CN115074700A CN202210526003.3A CN202210526003A CN115074700A CN 115074700 A CN115074700 A CN 115074700A CN 202210526003 A CN202210526003 A CN 202210526003A CN 115074700 A CN115074700 A CN 115074700A
Authority
CN
China
Prior art keywords
locking device
showerhead
hollow screw
threaded section
screw
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210526003.3A
Other languages
English (en)
Inventor
罗彬�
蒂莫西·斯科特·托马斯
达明·斯莱文
大卫·坎普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115074700A publication Critical patent/CN115074700A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Vaporization, Distillation, Condensation, Sublimation, And Cold Traps (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了一种喷头倾斜调节机构,其在半导体衬底处理装置的顶板中支撑喷头模块,该喷头倾斜调节机构包括差动螺丝,该差动螺丝提供粗调和微调,以调节喷头模块的面板的相对于与半导体衬底处理装置中的面板相邻的衬底基座模块的上表面的间隙/倾斜/平坦度。

Description

喷头倾斜机构
本申请是国际申请日为2018年07月16日,PCT申请号为PCT/US2018/042214,申请人为“朗姆研究公司”的PCT申请的进入中国国家阶段的发明专利申请(国家申请号为201880050579.9,发明名称为“喷头倾斜机构”)的分案申请。
技术领域
本发明属于用于处理半导体衬底的半导体衬底处理装置,并且可特别适用于执行薄膜的化学气相沉积。
背景技术
半导体衬底处理装置被用于通过技术来处理半导体衬底,所述技术包括:物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、分子层沉积(MLD)、等离子体增强脉冲沉积层(PEPDL)处理、蚀刻和抗蚀剂去除。一种类型的用于处理半导体衬底的半导体衬底处理装置包括含有喷头模块的反应室和将半导体衬底支撑在该反应室中的衬底基座模块。喷头模块输送处理气体到反应器室中,以便可以对半导体衬底进行处理。在这样的室中安装和拆卸喷头模块可能是耗时的,并且如果喷头模块的下表面不平行于衬底基座模块的上表面,则在衬底处理过程中可能发生进一步的非均匀的膜沉积(即方位角变化)。
发明内容
公开了一种用于处理半导体衬底的半导体衬底处理装置,其包括:(a)化学隔离室,在所述化学隔离室中处理单个的半导体衬底,所述化学隔离室包括形成所述化学隔离室的上壁的顶板;(b)与所述化学隔离室流体连通的处理气体源,其用于将至少一种处理气体供应到所述化学隔离室中;(c)喷头模块,其将来自所述处理气体源的所述处理气体输送到所述处理装置的处理区域,在所述处理区域中处理所述单个的半导体衬底,所述喷头模块包括附接到杆的下端的底座,其中具有气体通道穿过其中的面板形成所述底座的下表面,并且所述杆延伸穿过所述顶板中的竖直延伸的孔;(d)衬底基座模块,其被配置为在所述衬底的处理期间将所述半导体衬底支撑在所述面板下方的所述处理区域中;(e)支撑所述喷头模块的波纹管组件,所述波纹管组件包括套环、波纹管和调平板,所述套环具有与所述顶板中的所述孔对准的中心开口,所述波纹管围绕所述套环中的所述中心开口并具有附接到所述套环的上表面的下端和附接到所述调平板的下表面的上端,所述调平板具有与所述顶板上的所述孔对准的中心开口;并且(f)至少一个喷头倾斜度调节机构,其能操作用于调节所述喷头模块的所述面板相对于邻近所述面板的所述衬底基座模块的上表面的倾斜度,其中,所述喷头倾斜度调节机构包括锁定螺丝、中空螺丝、锁定板和锁定螺母,所述中空螺丝具有在其外表面上的第一螺纹区段和在所述外表面上的第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段与所述调平板的内螺纹孔接合,所述第二螺纹区段与所述锁定螺母的内螺纹接合,所述锁定螺丝具有与所述套环中的螺纹孔接合的下部外螺纹区段和与所述中空螺丝中的上插座的肩部接合的上部螺丝头,并且所述锁定板能从第一位置移动到第二位置,在所述第一位置,所述锁定螺母与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定螺母不能旋转,所述喷头倾斜度调节机构在所述锁定板处于所述第一位置时提供粗调,而在所述锁定板处于所述第二位置时提供细调。
所述至少一个喷头倾斜调节机构包括在所述波纹管的外部间隔开120°的位置处的三个喷头倾斜调节机构。所述锁定板能够在所述第一位置与所述第二位置之间沿径向方向移动,和/或所述调平板可以包括向上延伸的管状区段,其中所述管状区段的内表面包括所述螺纹孔。所述锁定板可以包括:在其外端处从所述套环向外延伸的手柄,在其内端处能够与所述锁定螺母接合的宽槽,以及从所述宽槽向外延伸的窄槽,以及延伸穿过所述窄槽并拧入所述套环的锁定板螺丝,所述锁定板螺丝具有能够抵靠所述锁定板紧固以防止所述锁定板移动的螺丝头。在一优选的实施方案中,当所述锁定板处于所述第一位置时,所述喷头倾斜度调节机构能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定板处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到0.004英寸的间隙细调。
在一实施方案中,一种控制由于半导体衬底处理装置中的喷头倾斜而引起的面内畸变(IPD)的方法包括:(a)测量在所述半导体衬底处理装置的处理室中处理的晶片上的IPD变化;(b)使用三个喷头倾斜调节机构来调节所述半导体衬底处理装置的喷头的倾斜度,所述三个喷头倾斜调节机构被配置为提供粗IPD调节和细IPD调节,其中所述喷头倾斜调节机构中的每个均包括被配置成改变安装在所述喷头上的可动部分与所述处理室中的固定部分之间的间隙的锁定螺丝、中空螺丝、锁定板和锁定螺母;(c)其中所述中空螺丝具有在其外表面上的第一螺纹区段和在所述外表面上的第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段与所述可动部分的内螺纹孔接合,并且所述第二螺纹区段与所述锁定螺母的内螺纹接合;(d)所述锁定螺丝具有拧入所述固定部分中的孔中的下端和与所述中空螺丝中的上插座的肩部接合的上部螺丝头,并且(e)所述锁定板能从第一位置移动到第二位置,在所述第一位置,所述锁定螺母与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定螺母不能旋转,所述喷头倾斜度调节机构在所述锁定板处于所述第一位置时提供粗调,而在所述锁定板处于所述第二位置时提供细调。在进行粗调中,将所述喷头倾斜度调节机构中的一个的所述锁定板可以放置在所述第一位置,并且可以将所述中空螺丝旋转到第一径向位置。并且在进行细调中,可以将所述锁定板移动至所述第二位置并且可以将所述中空螺丝旋转至使所述IPD减小的第二径向位置。所述中空螺丝可以包括狭槽,狭槽延伸穿过所述插座的壁,并且所述方法还可以包括:将具有上部对准标记的指示器盖放置在所述中空螺丝上,以使所述指示器盖上的突出部装配在所述狭槽内,记录对准标记的预调整角度,取下指示器盖并进行所述IPD调节,将所述指示器盖放置在中空螺丝上,并记录所述对准标记的调整后角度。通过使用具有相同取向的所述第一螺纹区段和所述第二螺纹区段,当所述锁定板处于所述第一位置时,所述喷头倾斜度调节机构中的每一个能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定板处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到0.004英寸的间隙细调。
附图说明
图1根据本文公开的实施方案示出了显示化学沉积装置的概貌的示意图。
图2示出了描绘被布置用于实施本文公开的实施方案的各种装置部件的方框图,其中在薄膜的产生过程中,等离子体可以用于反应物质之间的增强沉积和/或表面反应。
图3A示出了根据本文公开的实施方案布置的喷头模块的剖面图,并且图3B示出了其俯视图。
图4A-D示出了根据本文公开的实施方案的间隙调节装置,其中图4A-B示出了如何在锁定板不与锁定螺母接合的情况下进行粗调,而图4C-D示出了如何在锁定板与锁定螺母接合的情况下进行微调。
图5示出了根据本文公开的实施方案的指示器盖如何装配在中空调节螺丝上。
图6示出了指示器盖,该指示器盖安装在中空的调节螺丝上,以用于指示在间隙调节之后的旋转角度。
具体实施方式
在下面的详细描述中,为了使本文公开的装置和方法能被全面理解,阐述了许多具体实施方案。然而,如对本领域技术人员将显而易见的是,这些实施方案可以在没有这些具体细节的情况下或者通过使用替代元素或处理来实现。在其它情况下,为了避免不必要地使本文公开的实施方案的各方面难以理解,未对公知的处理、程序和/或部件进行详细描述。如本文中与数值结合使用的术语“约”是指±10%。
如所示出的,这些实施方案提供了半导体衬底处理装置,例如沉积装置(或在替代实施方案中的蚀刻装置),以及用于进行化学气相沉积的相关的方法,例如等离子体增强化学气相沉积。该装置和方法特别适用于与基于电介质沉积处理或金属沉积处理的半导体制造结合使用,该电介质沉积处理或金属沉积处理需要在多步骤沉积处理(例如,原子层沉积(ALD)处理、等离子体增强原子层沉积(PEALD)处理、等离子体增强化学气相沉积(PECVD)处理、脉冲沉积层(PDL)处理、分子层沉积(MLD)处理、或等离子体增强脉冲沉积层(PEPDL)处理)中分离自限制沉积步骤,但是它们并不受限于此。可以在共同受让的美国公布专利申请No.2013/0230987、No.2013/0005140、No.2013/0319329、和美国专利No.8,580,697、No.8,431,033和No.8,557,712中找到处理半导体衬底的方法的示例性实施方案,这些专利文件的全文通过引用并入此处。
前面提到的处理可能遭遇一些与非均匀的处理气体输送到接受由诸如处理气体前体或反应物之类的处理气体沉积的膜的晶片或半导体衬底的上表面相关联的缺点。例如,如果输送处理气体至半导体衬底的喷头模块的下表面不平行于支撑半导体衬底的衬底基座模块的上表面,则会形成半导体衬底的上表面上的非均匀的前体分布。晶片上的膜的几种性能会受到喷头和基座之间的间隙/流平的影响,即IPD、NU%、应力等。这些性能与间隙/流平之间的灵敏度因不同的工艺而异。并且有时,正常分辨率不可行。为了解决该问题,本文描述了改进的流平工艺,其中可以在膜沉积装置中提供间隙调节的超精细分辨率。
通常有两种主要类型的CVD喷头模块:吊灯型和嵌入式安装型。吊灯型喷头模块具有一端附连到反应室的顶板以及另一端附连到面板的杆,类似于吊灯。杆的一部分可以伸出超过顶板以使得能够连接气体管线以及能够连接射频(“RF”)功率电路。嵌入式安装喷头模块被集成到室的顶部并且不具有杆。尽管本文所示的示例是吊灯型喷头,但是喷头模块不限于该类型的喷头。
典型地在湿法清洗程序后进行喷头模块调平(平面化),其涉及一次或多次使装置的反应室(化学隔离室)冷却并排放。可能需要进入室的内部进行冷却和排气以调节喷头与衬底基座模块之间的间距并相对于基座模块的上表面使喷头的下表面平面化。常规技术包括将金属箔球放置在室中以测量喷头模块与衬底基座模块之间的间隙,然后基于测量结果调节喷头模块的背板与反应室的顶板之间的支座的数量,通常是三个或更多个。支座只能通过排放并冷却室后打开顶板进行调节。在认为喷头模块是水平的以前,可以进行多个测量并调节的循环。因为喷头不能通过外部操作调平,所以该处理可能会非常耗时,长达约20小时。
在一实施方案中,利用具有差动螺纹的螺丝来执行间隙调节。在此应用中,使用了螺丝和两个螺母。螺丝具有带有不同但紧密的螺距的两个螺纹。每个螺母都有一个与螺丝相匹配的螺距。在具有两对螺纹的情况下,最终螺距是两对螺纹的两个螺距的差/和。当两螺纹的方向相同时,它们可以提供细分辨率。另一方面,当两螺纹的方向相反时,它们可以提供额外的粗分辨率。通过固定两个螺母,可以获得异常的分辨率,而仅固定一个螺母,而让另一个螺母可以自由旋转,则可获得正常分辨率。因此,与常规间隙调节方法相比,使用差动螺纹,可以以更精细/更粗糙的分辨率调节喷头与晶片/基座的间隙和其他间隙。并且使用这种方法,间隙也可以以正常分辨率进行调节。这样,可以更精确或更快速地调节间隙。因此,可以更精确地调节喷头与晶片/基座之间的间隙,这对在晶片上的膜的IPD/NU%/等等的影响很大。
根据一实施方案,差动螺纹用于调节喷头与晶片/基座的间隙。这样,可以提供额外的细分辨率/粗分辨率。在喷头模块中,可以在不改变安装板的情况下增加额外的螺母,从而提供了更可改装/节省成本的倾斜调节装置。例如,当螺丝上的两螺纹方向相同时,可以使用额外的细分辨率,而当两螺纹方向相反时,则可以使用额外的粗分辨率。在另一种装置中,可以通过更改两螺纹的分辨率来修改最终分辨率。由于在工具上工作的空间限制,可以使用一把小扳手来握住多余的螺母,从而避免需要专门设计的扳手/工具。可以使用现有组件中的可用螺丝将小扳手固定,并避免在调节过程中需要手动操作扳手。如果需要,扳手可以移动(扳手锁定额外的螺母或松开额外的螺母),因此可以在异常分辨率和正常分辨率之间进行调节。作为倾斜调节期间的视觉辅助,扳手上的标记可用于指示其位置,即锁定位置或自由位置。同样,带有罗盘标记的帽可用于在单个方向上与螺丝头配合。该帽是可拆卸的,并且在每次调节之前和之后,都可以在帽上相对于特定方位进行标记。然后,可以测量调节前和调节后两个标记之间的角度,以确定转角。以这种方式,对于该应用不需要自动间隙系统(“AGS”)晶片测量,从而可以节省大量时间。关于AGS晶片测量的讨论可以在共同转让的美国公开专利申请No.2015/0225854中找到,其公开内容通过引用结合于此。
本文公开的是一种喷头模块,该喷头模块连接至喷头倾斜度调节机构,该喷头倾斜度调节机构被设计为在相同晶片上的处理步骤之间从反应室的外部调平。在顺序沉积两种或多种不同膜材料的工艺中,动态调节喷头倾斜度可校正方位角变化而不会破坏真空。喷头倾斜度调节机构包括上述的差动螺丝调节装置。
本文还描述了一种控制由于半导体衬底处理装置中的喷头倾斜而引起的面内畸变(IPD)的方法。该方法包括:测量在半导体衬底处理装置的处理室中处理过的晶片上的IPD变化,并使用具有上述差动螺丝调节装置的三个喷头倾斜度调节机构来调节半导体衬底处理装置的喷头的倾斜度,该差差动螺丝调节装置可提供粗IPD调节和细IPD调节。
图1是根据本文公开的实施方案显示用于化学气相沉积的半导体衬底处理装置201的概貌的示意图。诸如晶片之类的半导体衬底13位于能够相对于喷头模块211升高或降低的可移动的基座模块223的顶部上,喷头模块211也可以被垂直移动。经由气体管线203将反应物材料气体引入到室的处理区318中,其中处理气体流是由质量流量控制器229控制的。注意,该装置可以被修改成具有一个或多个气体管线,具体取决于所使用的反应气体的数量。室通过连接到真空源209的真空管线235被抽真空。真空源可以是真空泵。
本文所公开的实施方案可以在等离子体增强化学沉积装置(等离子体增强化学气相沉积(PECVD)装置,等离子体增强原子层沉积(PEALD)装置或等离子体增强脉冲沉积层(PEPDL)装置)中进行。图2提供了描绘被布置用于实施本文所公开的实施方案的各种装置部件的简单方块图,其中等离子体被用于增强沉积。如图所示,处理区318用于容纳由电容耦合等离子体系统产生的等离子体,该电容耦合等离子体系统包括与衬底基座模块223协同工作的喷头模块211,其中衬底基座模块223被加热。诸如至少一个高频(HF)RF发生器204之类的(多个)RF源被连接到匹配网络206,以及任选的低频(LF)RF发生器202被连接到喷头模块211。在一个替代实施方案中,高频发生器204可以被连接到衬底基座模块223。通过匹配网络206供给的功率和频率足以导致从处理气体/蒸气产生等离子体。在一个实施方案中,高频发生器和低频发生器两者均被使用,而在替代的实施方案中,仅使用高频发生器。在典型的处理中,高频发生器在约2-100MHz的频率下工作;在优选的实施方案中,在13.56MHz或27MHz的频率下工作。低频发生器在约50kHz至2MHz下工作;在优选的实施方案中,在约350至600kHz下工作。处理参数可基于室容积、衬底尺寸以及其它因素调节。类似地,处理气体的流率可以取决于真空室(反应室)或处理区的自由体积。
在室中,衬底基座模块223支撑衬底13,诸如薄膜之类的材料可以沉积在该衬底13上。衬底基座模块223可以包括叉或升降销以在沉积和/或等离子体处理反应期间以及在沉积和/或等离子体处理反应之间保持以及传送衬底。在一个实施方案中,衬底13可以被配置成被搁置在衬底基座模块223的表面上,然而,在替代的实施方案中,衬底基座模块223可以包括用于将衬底13保持在衬底基座模块223的表面上的静电卡盘、机械卡盘或真空卡盘。衬底基座模块223可以与用于将衬底13加热至所需的温度的加热部件220耦合。衬底13被保持在约25℃至500℃或更高的温度下,具体取决于待沉积的材料。
在某些实施方案中,系统控制器228被用于控制在沉积、后沉积处理、和/或其它处理操作期间的处理条件。控制器228典型地将包括一个或多个存储器设备以及包括一个或多个处理器。处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。
在某些实施方案中,控制器228控制装置的所有的活动。系统控制器228执行包括用于控制以下处理条件的指令集的系统控制软件:处理操作的时序、低频发生器202和高频发生器204的操作的频率和功率、前体和惰性气体以及它们的相应混合物的流率和温度、加热部件220和喷头模块211的温度、室的压力、喷头的倾斜度以及特定处理的其它参数。在一些实施方案中可以采用存储在与控制器相关联的存储器设备上的其它计算机程序。
典型地将有与控制器228相关联的用户界面。用户界面可以包括显示屏、装置和/或处理条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
非暂时性计算机机器可读介质可以包括用于装置的控制的程序指令。可以用任何常规的计算机可读编程语言(例如,汇编语言、C、C++、Pascal、Fortran或其它)编写用于控制处理操作的计算机程序代码。通过处理器执行编译目标代码或脚本以完成在程序中识别的任务。
控制器参数涉及处理条件,诸如,处理步骤的时序、前体和惰性气体的流率和温度、晶片的温度、室的压力、喷头的倾斜度以及特定处理的其它参数。这些参数被以配方的形式提供给用户,并且可以利用用户界面输入。
用于监控处理的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制处理的信号通过装置的模拟和数字输出连接被输出。
可以以许多不同的方式设计或配置系统软件。例如,可以写入各种室部件子程序或控制对象以控制进行沉积处理所需的室部件的操作。用于此目的的程序或程序段的示例包括衬底处理步骤时序的代码、前体和惰性气体的流率和温度的代码、以及用于室的压力的代码。
喷头模块211优选地是温度受控制的以及基座优选是RF供电的。可以在共同受让的美国公布专利申请No.2013/0316094中找到温度受控制的RF供电的喷头模块的示例性实施方案,该专利申请的全文通过引用并入此处。
根据本文公开的实施方案,喷头模块优选地包括喷头倾斜度调节机构,其用于手动调节喷头模块的倾斜度、角度、间隙和平坦度。如图3A和3B所示,喷头模块211优选地包括杆305,包括背板317和面板316的基座315以及用于调节喷头模块211的平坦度的喷头倾斜调节机构400。喷头模块211的平坦度也可以通过拧紧或松开三个相隔120°的调节螺丝405进行粗调。调节螺丝405包括粗螺纹和细螺纹,其可用于手动调节在倾斜和轴向位置的喷头模块211。调节螺丝405与调平板上的锁定螺母和螺纹孔配合,如下面更详细地说明。
在一实施方案中,喷头模块211的面板316的平坦度可以使用三个倾斜调节机构作为喷头调节机构的一部分以手动提供三个自由度来调节,所述三个自由度为:轴向平移和两个倾斜方向。参考图3A和3B,喷头模块211由波纹管组件500支撑,该波纹管组件500包括套环502、波纹管504和调平板506。冷却板508可以附接到调平板506上。
如图3A所示,喷头模块211优选地被支撑在化学隔离室(即反应室)的顶板330中。顶板330优选地将套环502支撑在带台阶孔中。顶板330的水平上表面优选具有开口,例如螺纹开口,其中套环502中用于容纳紧固件512的相应开口包括至少三个紧固件512,其将套环502附接到顶板330上。套环502将喷头倾斜度调节机构400的其余部分支撑在顶板330中。喷头倾斜度调节机构400由顶板330电接地。
O型圈514在通过三个调节螺丝405支撑在轴环502上方的调平板506和冷却板508之间形成空气密封(即气密密封),其中三个调节螺丝405也可用于粗调冷却板508相对于套环502的平坦度。如下文更详细地说明的,每个调节螺丝405的上端拧入调平板506的螺纹孔中,而每个相应调节螺丝405的下端拧入锁定螺母516中,当调节螺丝405不与锁定板518接合时,锁定螺母516随调节螺丝405自由旋转,或者锁定螺母516可以通过与锁定板518接合而被锁定,从而当调节螺丝405被旋转时锁定螺母516不旋转。喷头杆305延伸穿过套环502、波纹管504和调平板506中的中心开口,并且杆305的上端连接至调平板506上,从而通过调节螺丝405的旋转,面板316可以倾斜至所需角度。
波纹管504优选地在套环502和调平板506之间形成气密性可膨胀且柔性的真空密封,其中杆305延伸穿过气密性可膨胀真空密封,从而可调节喷头模块211的平坦度而不会破坏气密可膨胀真空密封。波纹管504优选地在上端处焊接至调平板506并且在下端处焊接至轴环502。
喷头倾斜度调节机构400可以通过三个或更多个紧固件512附接到化学隔离室的顶板330。喷头倾斜度调节机构优选包括三个差动螺丝组件,其中每个差动螺丝组件提供一个运动度。三个差动螺丝组件将提供三个运动度:两个倾斜和轴向位置。
图4A-4D示出了喷头倾斜度调节机构以及喷头倾斜度机构如何能够提供间隙粗调和细调的更多细节。调节螺丝405包括在调平板506上的向上延伸的管状突出部506b中与内螺纹区段506a接合的第一外螺纹区段405a和与锁定螺母516的内螺纹接合的第二外螺纹区段405b。第一螺纹区段405a和第二螺纹区段405b优选具有沿相同方向定向的不同螺距。调节螺丝405的上端包括能够与诸如六角螺丝刀(未示出)之类的工具接合的插座405c,并且在插座405c的上部中的狭槽405d适于容纳指示器盖的突出部。诸如螺栓之类的紧固件520位于调节螺丝405的内部,其下端520a旋入套环502中的螺纹孔中,并且在上端的扩大的头部520b容纳在插座405c内。头部520b填充插座405c的下部,使得诸如六角螺丝刀之类的工具可以接合插座405c的其余部分以在间隙/倾斜调节期间旋转调节螺丝405。
锁定板518包括在一端的手柄518a、在相对端的宽槽518b以及从宽槽518b延伸的窄槽518c。紧固件512的轴延伸穿过狭窄狭槽518c,并允许锁定板518径向向内滑动以接合锁定螺母516。如图4A-4B所示,当锁定板518未与锁定螺母516接合时,锁定螺母516随调节螺丝405旋转以提供间隙粗调。如图4C-4D所示,当锁定板518与锁定螺母516接合时,锁定螺母516被阻止随调节螺丝405旋转,从而提供间隙细调。锁定板518包括参考标记518d,该参考标记518d提供了关于锁定板518未与锁定螺母516接合时的视觉指示(参考标记518d如图4A-4B所示在调平板506的外周之外)以及关于锁定板518与锁定螺母516接合时的视觉指示(参考标记518d位于调平板506的外周内侧,如图4C-4D所示)。
图5示出了装配在调节螺丝405的插座405c中的指示器盖522的细节。指示器盖522包括装配在调节螺丝405的槽405d中的突出部522a。在进行间隙/倾斜调节时,指示器盖522可以放置在调节螺丝405上并且可以记录其角度位置。然后,取下指示器盖,并且在锁定螺母516不与锁定板518接合或锁定螺母516与锁定板518接合的情况下通过旋转调节螺丝405来进行间隙/倾斜度调节。在间隙/倾斜度调节完成后,将指示器盖放在调节螺丝405上并记录其角度位置。
如图6所示,指示器盖可包括从圆形刻度盘522c向上延伸的指示器522b,该圆形刻度盘522c具有沿周向间隔开的指示器,该指示器522d在间隙/倾斜调节之前和之后提供指示器角度位置的视觉指示。
调节螺丝405还可以用于对喷头模块211的位置进行粗调和细调。根据螺距的选择,调节螺丝405每旋转一整圈,可以实现在约0.02至约0.04英寸范围内的粗调和在约0.002至0.004英寸范围内的细调。例如,调节螺丝每旋转一整圈,粗调可以是0.03125英寸,而调节螺丝每旋转一整圈,细调可以是0.0035英寸。
虽然已经参照其具体实施方案详细描述了包括倾斜度调节装置的半导体衬底处理装置,但是对本领域技术人员将显而易见的是,在不脱离所附权利要求的范围的情况下,可以做出各种改变和修饰并且可以采用等同方式。

Claims (20)

1.一种用于处理半导体衬底的半导体衬底处理装置,其包括:
化学隔离室,在所述化学隔离室中处理单个的半导体衬底,所述化学隔离室包括形成所述化学隔离室的上壁的顶板;
与所述化学隔离室流体连通的处理气体源,其用于将至少一种处理气体供应到所述化学隔离室中;
喷头模块,其将来自所述处理气体源的所述处理气体输送到所述处理装置的处理区域,在所述处理区域中处理所述单个的半导体衬底,所述喷头模块包括附接到杆的下端的底座,其中具有气体通道穿过其中的面板形成所述底座的下表面,并且所述杆延伸穿过所述顶板中的竖直延伸的孔;
衬底基座模块,其被配置为在所述衬底的处理期间将所述半导体衬底支撑在所述面板下方的所述处理区域中;
支撑所述喷头模块的波纹管组件,所述波纹管组件包括套环、波纹管和调平板,所述套环具有与所述顶板中的所述孔对准的中心开口,所述波纹管围绕所述套环中的所述中心开口并具有附接到所述套环的上表面的下端和附接到所述调平板的下表面的上端,所述调平板具有与所述顶板上的所述孔对准的中心开口;
至少一个喷头倾斜度调节机构,其能操作用于调节所述喷头模块的所述面板相对于邻近所述面板的所述衬底基座模块的上表面的倾斜度,其中,所述喷头倾斜度调节机构包括中空螺丝、锁定设备和锁定元件,所述中空螺丝具有第一螺纹区段和第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段可以与所述调平板接合,所述第二螺纹区段可以与所述锁定元件接合,并且所述锁定设备能从第一位置移动到第二位置,在所述第一位置,所述锁定元件与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定元件不能旋转,所述喷头倾斜度调节机构在所述锁定设备处于所述第一位置时提供粗调,而在所述锁定设备处于所述第二位置时提供细调。
2.根据权利要求1所述的半导体衬底处理装置,其中,所述至少一个喷头倾斜调节机构包括在所述波纹管的外部间隔开120°的位置处的三个喷头倾斜调节机构。
3.根据权利要求1所述的半导体衬底处理装置,其中,所述锁定设备能够在所述第一位置与所述第二位置之间沿径向方向移动。
4.根据权利要求1所述的半导体衬底处理装置,其中,所述调平板包括螺纹区段,所述螺纹区段接合所述中空螺丝。
5.根据权利要求1所述的半导体衬底处理装置,其中,所述锁定设备包括:在其外端处从所述套环向外延伸的手柄,在其内端处能够与所述锁定元件接合的宽槽,以及从所述宽槽向外延伸的窄槽,以及延伸穿过所述窄槽并拧入所述套环的锁定设备螺丝,所述锁定设备螺丝具有能够抵靠所述锁定设备紧固以防止所述锁定设备移动的螺丝头。
6.根据权利要求1所述的半导体衬底处理装置,其中,当所述锁定设备处于所述第一位置时,所述喷头倾斜度调节机构能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定设备处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到约0.004英寸的间隙细调。
7.一种通过波纹管组件被支撑在半导体衬底处理装置的顶板中的被构造成提供对喷头模块的间隙粗调和间隙细调的喷头倾斜度调节机构,其中,所述喷头倾斜度调节机构包括:
中空螺丝、锁定设备和锁定元件,
所述中空螺丝具有第一螺纹区段和第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段被配置成与所述波纹管组件的调平板接合,所述第二螺纹区段与所述锁定元件接合,
所述锁定设备能从第一位置移动到第二位置,在所述第一位置,所述锁定元件与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定元件不能旋转,所述喷头倾斜度调节机构在所述锁定设备处于所述第一位置时提供粗调,而在所述锁定设备处于所述第二位置时提供细调。
8.根据权利要求7所述的喷头倾斜度调节机构,其中,所述中空螺丝上的所述第一螺纹区段和所述第二螺纹区段具有相同的取向。
9.根据权利要求7所述的喷头倾斜度调节机构,其中,所述锁定设备包括:在其外端处的手柄,在其内端处能够与所述锁定元件接合的宽槽,以及从所述宽槽中向外延伸的窄槽,所述窄槽被配置为容纳拧入所述套环的锁定设备螺丝,所述锁定设备螺丝具有能够抵靠所述锁定设备紧固以防止所述锁定设备移动的螺丝头。
10.根据权利要求7所述的喷头倾斜度调节机构,其中,当所述锁定设备处于所述第一位置时,所述喷头倾斜度调节机构能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定设备处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到约0.004英寸的间隙细调。
11.一种喷头模块,其将来自处理气体源的处理气体输送到半导体衬底处理装置的处理区域,在所述处理区域中处理单个的半导体衬底,所述喷头模块包括:
附接到杆的下端的底座,其中具有气体通道穿过其中的面板形成所述底座的下表面,并且所述杆被配置为延伸穿过所述处理装置的顶板中的竖直延伸的孔;
支撑所述喷头模块的波纹管组件,所述波纹管组件包括套环、波纹管和调平板,所述套环具有与所述顶板中的所述孔对准的中心开口,所述波纹管围绕所述套环中的所述中心开口并具有附接到所述套环的上表面的下端和附接到所述调平板的下表面的上端,所述调平板具有与所述顶板上的所述孔对准的中心开口;
至少一个喷头倾斜度调节机构,其能操作用于调节所述喷头模块的所述面板的倾斜度,其中,所述喷头倾斜度调节机构包括中空螺丝、锁定设备和锁定元件,所述中空螺丝具有第一螺纹区段和第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段与所述调平板接合,所述第二螺纹区段与所述锁定元件接合,并且所述锁定设备能从第一位置移动到第二位置,在所述第一位置,所述锁定元件与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定元件不能旋转,所述喷头倾斜度调节机构在所述锁定设备处于所述第一位置时提供粗调,而在所述锁定设备处于所述第二位置时提供细调。
12.根据权利要求11所述的喷头模块,其中,所述至少一个喷头倾斜调节机构包括在所述波纹管的外部间隔开120°的位置的三个喷头倾斜调节机构。
13.根据权利要求11所述的喷头模块,其中,所述锁定设备能够在所述第一位置与所述第二位置之间沿径向方向移动。
14.根据权利要求11所述的喷头模块,其中,所述调平板包括螺纹区段,所述螺纹区段接合所述中空螺丝。
15.根据权利要求11所述的喷头模块,其中,所述锁定设备包括在其外端处从所述套环向外延伸的手柄,在其内端处能够与所述锁定元件接合的宽槽,以及从所述宽槽向外延伸的窄槽,以及延伸穿过所述窄槽并拧入所述套环的锁定设备螺丝,所述锁定设备螺丝具有能够抵靠所述锁定设备紧固以防止所述锁定设备移动的螺丝头。
16.根据权利要求15所述的喷头模块,其中,当所述锁定设备处于所述第一位置时,所述喷头倾斜度调节机构能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定设备处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到约0.004英寸的间隙细调。
17.一种控制由于半导体衬底处理装置中的喷头倾斜而引起的沉积膜特性的方法,该方法包括:
测量在所述半导体衬底处理装置的处理室中处理的晶片的沉积膜特性;
使用三个喷头倾斜调节机构来调节所述半导体衬底处理装置的喷头的倾斜度,所述三个喷头倾斜调节机构被配置为提供粗调和细调,其中所述喷头倾斜调节机构中的每个均包括被配置成改变安装在所述喷头上的可动部分与所述处理室中的固定部分之间的间隙的中空螺丝、锁定设备和锁定元件;
其中所述中空螺丝具有第一螺纹区段和第二螺纹区段,所述第一螺纹区段的螺距与所述第二螺纹区段的螺距不同,所述第一螺纹区段与所述可动部分接合,并且所述第二螺纹区段与所述锁定元件接合;并且
所述锁定设备能从第一位置移动到第二位置,在所述第一位置,所述锁定元件与所述中空螺丝一起旋转,并且在所述第二位置,所述锁定元件不能旋转,所述喷头倾斜度调节机构在所述锁定设备处于所述第一位置时提供粗调,而在所述锁定设备处于所述第二位置时提供细调。
18.根据权利要求17所述的方法,其包括通过将所述喷头倾斜度调节机构中的一个的所述锁定设备定位在所述第一位置中并且将所述中空螺丝旋转到第一径向位置来进行粗调,以及通过移动所述锁定设备至所述第二位置并将所述中空螺丝旋转至第二径向位置来进行细调。
19.根据权利要求18所述的方法,其中,狭槽延伸穿过所述中空螺丝中的插座的壁,所述方法还包括:将具有上部对准标记的指示器盖放置在所述中空螺丝上,以使所述指示器盖上的突出部装配在所述狭槽内,记录对准标记的预调整角度,取下指示器盖并进行沉积膜特性调节,将所述指示器盖放置在中空螺丝上,并记录所述对准标记的调整后角度。
20.根据权利要求17所述的方法,其中,所述第一螺纹区段和所述第二螺纹区段具有相同的取向,并且当所述锁定设备处于所述第一位置时,所述喷头倾斜度调节机构中的每一个能够在所述中空螺丝每旋转一整圈中提供约0.02英寸至约0.04英寸的间隙粗调,并且当所述锁定设备处于所述第二位置时,在所述中空螺丝每旋转一整圈中提供约0.002英寸到约0.004英寸的间隙细调。
CN202210526003.3A 2017-07-25 2018-07-16 喷头倾斜机构 Pending CN115074700A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/658,911 US10190216B1 (en) 2017-07-25 2017-07-25 Showerhead tilt mechanism
US15/658,911 2017-07-25
CN201880050579.9A CN110997976B (zh) 2017-07-25 2018-07-16 喷头倾斜机构
PCT/US2018/042214 WO2019022978A1 (en) 2017-07-25 2018-07-16 SHOWERHEAD INCLINATION MECHANISM

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201880050579.9A Division CN110997976B (zh) 2017-07-25 2018-07-16 喷头倾斜机构

Publications (1)

Publication Number Publication Date
CN115074700A true CN115074700A (zh) 2022-09-20

Family

ID=65032046

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202210526003.3A Pending CN115074700A (zh) 2017-07-25 2018-07-16 喷头倾斜机构
CN201880050579.9A Active CN110997976B (zh) 2017-07-25 2018-07-16 喷头倾斜机构

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201880050579.9A Active CN110997976B (zh) 2017-07-25 2018-07-16 喷头倾斜机构

Country Status (7)

Country Link
US (2) US10190216B1 (zh)
JP (2) JP7199414B2 (zh)
KR (1) KR20200023511A (zh)
CN (2) CN115074700A (zh)
SG (1) SG11202000617PA (zh)
TW (2) TWI816455B (zh)
WO (1) WO2019022978A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
CN110696322A (zh) * 2019-08-23 2020-01-17 白银有色长通电线电缆有限责任公司 一种挤塑机机头位置调整装置
US20220282377A1 (en) * 2019-08-23 2022-09-08 Lam Research Corporation Thermally controlled chandelier showerhead
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
US20230097272A1 (en) * 2020-02-25 2023-03-30 The Regents Of The University Of Michigan Mechatronic spatial atomic layer deposition system with closed-loop feedback control of parallelism and component alignment
KR20230028442A (ko) * 2020-06-23 2023-02-28 램 리써치 코포레이션 자동화된 샤워헤드 틸팅 조정
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
JP2023117775A (ja) 2022-02-14 2023-08-24 東京エレクトロン株式会社 基板処理装置
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4234177A (en) 1979-04-09 1980-11-18 Mcdougal Thomas F Differential screw device for workholders and the like
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS6373292U (zh) * 1986-10-30 1988-05-16
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JPH0595750U (ja) * 1992-06-01 1993-12-27 セイコー電子工業株式会社 ヘッド位置調整機構
US5522398A (en) 1994-01-07 1996-06-04 Medsol Corp. Bone marrow biopsy needle
US5751537A (en) 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US7413612B2 (en) 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
JP2005039123A (ja) 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
CN101124663B (zh) * 2004-07-09 2010-12-01 积水化学工业株式会社 用于处理基板的外周部的方法及设备
US7572340B2 (en) 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
US20080017116A1 (en) 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7368930B2 (en) 2006-08-04 2008-05-06 Formfactor, Inc. Adjustment mechanism
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8257548B2 (en) 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8292243B2 (en) 2008-09-03 2012-10-23 Twin Turbine Technologies Llc Compound differential thread systems
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
DE102009014718A1 (de) 2009-03-27 2010-09-30 Astrium Gmbh Vorrichtung zur Feineinstellung des Abstandes zwischen zwei Elementen
KR101671158B1 (ko) 2009-04-21 2016-11-01 어플라이드 머티어리얼스, 인코포레이티드 박막 두께 불균일성 및 파티클 성능이 개선된 cvd 장치
JP5396353B2 (ja) 2009-09-17 2014-01-22 日本碍子株式会社 静電チャック及びその製法
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130316094A1 (en) 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US10401279B2 (en) * 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
CN103745902A (zh) 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism

Also Published As

Publication number Publication date
JP7199414B2 (ja) 2023-01-05
US20190032214A1 (en) 2019-01-31
SG11202000617PA (en) 2020-02-27
WO2019022978A1 (en) 2019-01-31
US10190216B1 (en) 2019-01-29
US10760160B2 (en) 2020-09-01
CN110997976A (zh) 2020-04-10
CN110997976B (zh) 2022-06-07
JP2020529126A (ja) 2020-10-01
JP7439229B2 (ja) 2024-02-27
JP2023036763A (ja) 2023-03-14
TW202242189A (zh) 2022-11-01
TW201930639A (zh) 2019-08-01
TWI816455B (zh) 2023-09-21
KR20200023511A (ko) 2020-03-04
TWI772469B (zh) 2022-08-01
US20190153601A1 (en) 2019-05-23

Similar Documents

Publication Publication Date Title
CN110997976B (zh) 喷头倾斜机构
US10748747B2 (en) Edge exclusion control with adjustable plasma exclusion zone ring
US9290843B2 (en) Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US11756771B2 (en) Tunable upper plasma-exclusion-zone ring for a bevel etcher
US7858898B2 (en) Bevel etcher with gap control
US20090260571A1 (en) Showerhead for chemical vapor deposition
TWI810227B (zh) 用於邊緣環耗損補償的系統和方法
JP7497420B2 (ja) カメラウエハを使用した台座セットアップ
TWI386968B (zh) 一種等離子化學反應器
US10763154B2 (en) Measurement of flatness of a susceptor of a display CVD chamber
KR20090122694A (ko) 화학 기상 증착 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination