TW201930639A - 噴淋頭傾斜機制 - Google Patents

噴淋頭傾斜機制 Download PDF

Info

Publication number
TW201930639A
TW201930639A TW107125278A TW107125278A TW201930639A TW 201930639 A TW201930639 A TW 201930639A TW 107125278 A TW107125278 A TW 107125278A TW 107125278 A TW107125278 A TW 107125278A TW 201930639 A TW201930639 A TW 201930639A
Authority
TW
Taiwan
Prior art keywords
screw
locking
locking plate
plate
semiconductor substrate
Prior art date
Application number
TW107125278A
Other languages
English (en)
Other versions
TWI772469B (zh
Inventor
羅彬
提摩西 史考特 湯瑪士
戴米恩 斯列文
德夫 坎伯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201930639A publication Critical patent/TW201930639A/zh
Application granted granted Critical
Publication of TWI772469B publication Critical patent/TWI772469B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Vaporization, Distillation, Condensation, Sublimation, And Cold Traps (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供將噴淋頭模組支撐於半導體基板處理設備之頂板中的噴淋頭傾斜調整機制,該噴淋頭傾斜調整機制包含差動螺釘,其提供粗調與微調以相對於半導體基板處理設備中鄰近面板的基板底座模組之上表面而調整該噴淋頭模組之面板的間隙/傾斜/平面化。

Description

噴淋頭傾斜機制
本發明係關於用於處理半導體基板的半導體基板處理設備,特別係用於執行薄膜的化學氣相沉積。
半導體基板處理設備係用以藉由一些技術來處理半導體基板,該等技術包括:物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、電漿輔助原子層沉積(PEALD)、脈衝式沉積層(PDL)、分子層沉積(MLD)、電漿輔助脈衝式沉積層(PEPDL)處理、蝕刻、及光阻移除。用於處理半導體基板的半導體基板處理設備其中一種類型包括含有一噴淋頭模組及一基板底座模組的反應腔室,其中該基板底座模組在反應腔室中支撐半導體基板。噴淋頭模組將處理氣體輸送至反應腔室中,因此半導體基板可受到處理。在此等腔室中,噴淋頭模組之安裝及移除可能係耗時的,再者,若噴淋頭模組之下表面與基板底座模組之上表面不平行,則基板處理期間的不均勻薄膜沉積(亦即,方位變異)可能發生。
本文所揭示者為一種用於處理半導體基板的半導體基板處理設備,其包含:(a) 一化學分離腔室,個別的半導體基板在其中受處理,該化學分離腔室包含一頂板,該頂板形成該化學分離腔室的上壁,(b) 一處理氣體源,其與該化學分離腔室流體連通,用以將至少一處理氣體供應至該化學分離腔室中,(c) 一噴淋頭模組,其將該處理氣體自該處理氣體源輸送至該處理設備的處理區域,該等個別的半導體基板在其中接受處理,該噴淋頭模組包含附著於一桿部之下端的一基底,其中具有氣體通道從中通過的面板形成該基底的下表面,且該桿部延伸通過該頂板中之垂直延伸的搪孔,(d) 一基板底座模組,其係配置以在該半導體基板之處理期間將該半導體基板支撐於該面板下方的該處理區域中,(e) 一伸縮囊組件,其支撐該噴淋頭模組,該伸縮囊組件包含一軸環、一伸縮囊、及一校平板,該軸環具有與該頂板中之該搪孔對準的中心開口,該伸縮囊環繞該軸環中的該中心開口、且具有附著於該軸環之上表面的下端及附著於該校平板之下表面的上端,該校平板具有與該頂板中之該搪孔對準的中心開口,以及(f) 至少一噴淋頭傾斜調整機制,其係可操作以相對於鄰近該面板的該基板底座模組之上表面而調整該噴淋頭模組之該面板的傾斜,其中該噴淋頭傾斜調整機制包含一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽,該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係與該校平板之內螺紋搪孔嚙合,該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合,該鎖定螺釘具有與該軸環中之螺紋搪孔嚙合的下部外螺紋區段、及與該空心螺釘中之上部承窩之肩部嚙合的上部螺釘頭,並且該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。
該至少一噴淋頭傾斜調整機制包含三個噴淋頭傾斜調整機制,該三個噴淋頭傾斜調整機制係在120°間隔之位置處由該伸縮囊向外相隔開。該鎖定板可在該第一與第二位置之間於徑向上移動,及/或該校平板可包含向上延伸的管狀區段,其中該管狀區段之內表面包含該螺紋搪孔。該鎖定板可包含在其外端之由該軸環向外延伸的一手柄、在其內端之可與該鎖定螺帽嚙合的一寬凹槽、及由該寬凹槽向外延伸的一窄凹槽、並且一鎖定板螺釘延伸通過該窄凹槽且被擰入該軸環中,該鎖定板螺釘具有一螺釘頭,可使該螺釘頭抵靠該鎖定板而擰緊以避免該鎖定板移動。在一較佳實施例中,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
在一實施例中,一種控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法包含:(a) 量測在該半導體基板處理設備之處理腔室中受處理的晶圓各處的IPD變化,(b) 利用三個噴淋頭傾斜調整機制調整該半導體基板處理設備之噴淋頭的傾斜,該三個噴淋頭傾斜調整機制係配置為提供IPD的粗調及微調,其中該等噴淋頭傾斜調整機制之各者包含一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽,其係設置以使附著於該噴淋頭的可動部分與該處理腔室中的固定部分之間的間隙改變,(c) 其中該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係與該可動部分之內螺紋搪孔嚙合,而該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合,(d) 該鎖定螺釘具有被擰入該固定部分內之搪孔中的下端、及與該空心螺釘中之上部承窩之肩部嚙合的上部螺釘頭;以及(e) 該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。在進行粗調時,可將該等噴淋頭傾斜調整機制之其中一者的該鎖定板定位於該第一位置、並可將該空心螺釘轉動至第一徑向位置。在進行微調時,可將該鎖定板移動至該第二位置、並可將該空心螺釘轉動至IPD減低之第二徑向位置。該空心螺釘之上部承窩包含延伸通過該承窩的壁部的一凹槽,且該方法可更包含:將具有上部之對準標記的一指示蓋置於該空心螺釘上以使該指示蓋上的凸部密接於該凹槽內,記錄該對準標記的調整前角度,移除該指示蓋並進行IPD調整,將該指示蓋置於該空心螺釘上並記錄該對準標記的調整後角度。藉由使用具有相同方向的第一與第二螺紋區段,該等噴淋頭傾斜調整機制之各者在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
在以下詳細說明中,為了提供對於本文所揭露之設備及方法的徹底瞭解而提出許多具體實施方式。然而,如同本領域中具有通常知識者將輕易瞭解,可在不具這些具體細節或藉由使用替代元件或製程的情況下實現這些實施方式。在其他情況下,不再詳細敘述熟知的製程、程序、及/或元件,以免不必要地模糊了於此所揭露之實施態樣。如本文結合數值所使用之用語「約」係指±10%。
如上所指出,本發明提供諸如沉積設備(或在另一實施例中的蝕刻設備)之半導體基板處理設備及相關方法,用以執行化學氣相沉積,例如電漿輔助化學氣相沉積。此等設備及方法特別適用於與基於半導體加工的介電質沉積製程或金屬沉積製程結合使用,此等製程需要在多步驟沉積製程(例如,原子層沉積(ALD)、電漿輔助原子層沉積(PEALD)、電漿輔助化學氣相沉積(PECVD)、脈衝式沉積層(PDL)、分子層沉積(MLD)、或電漿輔助脈衝式沉積層(PEPDL)處理)中分離自限性沉積步驟,然而其並不限於此。處理半導體基板之方法的示例性實施例可在下列文獻中找到:共同受讓的美國專利公開案第2013/0230987、2013/0005140、與2013/0319329號、以及美國專利第8,580,697、8,431,033、與8,557,712號,在此藉由參照將其全文引入。
前述製程可能受到與傳至晶圓或半導體基板上表面之不均勻處理氣體輸送有關的一些缺點影響,該晶圓或半導體基板上表面從諸如處理氣體前驅物或反應物之處理氣體接收沉積薄膜。例如,若輸送處理氣體至半導體基板的噴淋頭模組之下表面與支撐半導體基板的基板底座模組之上表面不平行,則半導體基板上表面上之不均勻前驅物分布可能形成。晶圓上之薄膜的若干特性(亦即,IPD、NU%、應力等)係受噴淋頭與底座之間的間隙/校平所影響。針對不同製程,該等特性與間隙/校平之間的敏感度係不同的。有時,正規的分解度(resolution)係不可行的。為了應對此問題,本文說明經改善之校平處理,其中可在薄膜沉積設備中提供特細分解度的間隙調整。
一般有兩種主要類型的CVD噴淋頭模組:枝形燈架型及平嵌型。枝形燈架型噴淋頭模組具有一端附著於反應腔室之頂板且另一端附著於面板的桿部,其類似於枝形燈架。桿部之一部分可突出至頂板上方以達成氣體管線之連接及與射頻(「RF」)電源電路的連接。平嵌型噴淋頭模組係整合於腔室頂部中且不具有桿部。雖然本文所示範例為枝形燈架型噴淋頭,但噴淋頭模組並不限於此類型的噴淋頭。
通常在涉及使設備之反應腔室(化學分離腔室)冷卻及排氣一或多次的濕清潔程序之後,執行噴淋頭模組校平(平面化)。可能需要使冷卻及排氣作用進入腔室內部以調整噴淋頭與基板底座模組之間的間距、以及噴淋頭下表面相對於底座模組上表面之平面化。一種習知技術涉及在腔室中放置金屬箔球,以量測噴淋頭模組與基板底座模組之間的間隙,接著基於量測而調整噴淋頭模組之背板與反應腔室之頂板之間的若干(通常為三個以上)支架。支架僅可在使腔室排氣及冷卻之後透過開啟頂板而加以調整。可在噴淋頭模組被視為水平之前執行多次的量測及調整循環。由於噴淋頭無法透過外部操縱而加以校平,故該處理可能相當耗時,高達約20小時。
在一實施例中,利用帶有差動螺紋的螺釘進行間隙調整。在此應用中,使用一個螺釘及兩個螺帽。該螺釘帶有具不同但相近之螺距的兩種螺紋。各個螺帽具有與螺釘匹配的螺距。在兩對螺紋的情況下,最終的螺距為兩對螺紋之兩螺距的差/和。當兩螺紋係相同方向時,其可提供細分解度。另一方面,當兩螺紋係相反方向時,其可提供特粗的分解度。藉由固定兩螺帽,可得到非正規之分解度,而藉由僅固定一螺帽並使另一者自由轉動,可得到正規之分解度。因此,相比於正規的間隙調整方法,使用差動螺紋可以較細/較粗的分解度調整噴淋頭與晶圓/底座之間隙及其他間隙。並且,透過此方法,亦可利用正規的分解度調整間隙。以此方式,可更精確或更快速地調整間隙。因此,可更精確地調整噴淋頭與晶圓/底座之間隙,其對於晶圓上之薄膜的IPD/NU%等具有重大影響。
依據一實施例,差動螺紋係用以調整噴淋頭與晶圓/底座之間隙。以此方式,可提供特細/粗的分解度。在該噴淋頭模組中,可在不更換安裝板的情況下加入額外的螺帽,從而提供更為可改裝的/節省成本的傾斜調整配置。舉例而言,當螺釘上之兩螺紋係相同方向時,可得到特細的分解度,而當其係相反方向時,可得到特粗的分解度。在另一配置中,可藉由改變兩螺紋的分解度而修改最終的分解度。由於工具之工作空間的限制,小型扳手可用以操作額外的螺帽,並因此避免了對於專門設計之扳手/工具的需求。可利用既有組件中之可用螺釘以固定小型扳手,避免在調整過程中需手動操作扳手。若有需要,扳手可為可移動式(扳手鎖定額外的螺帽或釋放額外的螺帽),因此該調整操作可在非正規分解度與正規分解度之間變換。作為傾斜調整期間的視覺輔助,扳手上的標記可用以指示其位置(亦即,鎖定或釋放位置)。並且,帶有羅盤標記的蓋件可用於在單一方向上與螺釘頭嚙合。此蓋件為可拆裝的,並且在每次調整之前與之後,可在蓋件上相對於某方向而作出標記。接著,可量測調整之前與之後的兩標記間之角度,以判定轉動角度。按此方式,此應用不需自動間隙系統(「AGS」)晶圓量測,其可節省大量時間。AGS晶圓量測之討論可在共同受讓的美國專利公開案第2015/0225854號中找到,在此藉由參照將其揭示內容引入。
本文揭示一種耦接至噴淋頭傾斜調整機制的噴淋頭模組,其係設計成在同一晶圓上的處理步驟之間從反應腔室外部進行調平。在相繼沉積二或更多不同薄膜材料之製程中,動態地調整噴淋頭傾斜在不破壞真空之情況下對方位變異進行了校正。噴淋頭傾斜調整機制包含上述的差動螺釘調整配置。
本文亦描述一種控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法。該方法包含量測在半導體基板處理設備之處理腔室中受處理的晶圓各處的IPD變化、以及利用具有上述之差動螺釘調整配置的三個噴淋頭傾斜調整機制來調整半導體基板處理設備之噴淋頭的傾斜,其中該三個噴淋頭傾斜調整機制提供IPD的粗調及微調。
依據本文所揭露之實施例,圖1為顯示用於化學氣相沉積的半導體基板處理設備201之概觀的示意圖。諸如晶圓之半導體基板13位在可動底座模組223上方,該可動底座模組223可相對於噴淋頭模組211而被抬升或降低,其亦可被垂直移動。經由氣體管線203將反應物材料氣體導入腔室的處理區域318中,其中處理氣體之流動係由質量流量控制器229所控制。應注意,可修改設備以具有一或更多氣體管線,其取決於所用之反應物氣體的數目。腔室係透過連接至真空源209的真空管線235而加以排空。真空源可為真空泵浦。
本文所揭露之實施例可實現在電漿輔助化學沉積設備(亦即,電漿輔助化學氣相沉積(PECVD)設備、電漿輔助原子層沉積(PEALD)設備、或電漿輔助脈衝式沉積層(PEPDL)設備)中。圖2提供一簡明方塊圖,其繪示為實現本文所揭露之實施例所設置之各種設備元件,其中電漿用於輔助沉積。如圖所示,處理區域318用以容納電漿,電漿係藉由包含與基板底座模組223結合運作之噴淋頭模組211的電容耦合電漿系統而產生,其中基板底座模組223係經加熱的。連接至匹配網路208的(複數)RF源(例如至少一高頻(HF)RF產生器204)、及選用性的低頻(LF)RF產生器202係連接至噴淋頭模組211。在另一實施例中,可將HF產生器204連接至基板底座模組223。由匹配網路208供應之功率及頻率係足以由處理氣體/蒸氣產生電漿。在一實施例中,HF產生器及LF產生器兩者皆有使用,而在一替代實施例中,僅使用HF產生器。在一典型製程中,HF產生器係操作在約2-100 MHz的頻率;而在一較佳實施例中,其可操作在13.56 MHz或27 MHz。LF產生器係操作在約50 kHz至2 MHz;而在一較佳實施例中,其可操作在約350至600 kHz。製程參數可基於腔室容積、基板尺寸、及其他因素而進行調整。同樣地,處理氣體的流速可取決於真空腔室(反應腔室)或處理區域的自由容積。
在腔室內,基板底座模組223支撐基板13,諸如薄膜之材料可被沉積於該基板13上。基板底座模組223可包含叉件或升降銷,以在沉積及/或電漿處理反應期間與之間固持和傳送基板。在一實施例中,可將基板13配置為安置於基板底座模組223之表面上。然而,在替代實施例中,基板底座模組223可包含靜電夾頭、機械夾頭、或真空夾頭,以用於將基板13固持於基板底座模組223之表面上。可使基板底座模組223與加熱器組件220耦合,用以將基板13加熱至期望溫度。依據欲沉積之材料而將基板13維持於約25º C至500º C或更高的溫度。
在某些實施例中,系統控制器228係用以控制沉積期間之製程條件、後沉積處理、及/或其他製程操作。控制器228通常會包括一或更多記憶體裝置及一或更多處理器。處理器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制板等等。
在某些實施例中,控制器228控制設備的全部動作。系統控制器228執行系統控制軟體,系統控制軟體包括用以控制下列參數之指令集:處理操作之時序、LF產生器202及HF產生器204之操作頻率及功率、前驅物及惰性氣體之流率和溫度及其相對混合情況、加熱器組件220與噴淋頭模組211之溫度、腔室之壓力、噴淋頭之傾斜、及特定處理的其他參數。在一些實施例中,可使用儲存在與控制器相關之記憶體裝置上的其他電腦程式。
通常,會有與控制器228相關的使用者介面。使用者介面可包括:顯示螢幕、設備及/或製程條件的圖形軟體顯示、及使用者輸入裝置(如指標裝置、鍵盤、觸控螢幕、麥克風等等)。
非暫態電腦機器可讀媒體可包含用以控制設備的程式指令。可用任何習知電腦可讀程式語言撰寫用以控制處理操作的電腦程式碼,例如組件語言、C、C++、Pascal、Fortran、或其他程式語言。藉由處理器執行編譯目標碼或腳本,以完成程式中確認的工作。
控制器參數與製程條件有關,如處理步驟之時序、前驅物及惰性氣體之流率及溫度、晶圓之溫度、腔室之壓力、噴淋頭之傾斜、及特定製程的其他參數。該等參數係以配方的形式提供給使用者,並且可利用使用者介面輸入。
可藉由系統控制器的類比及/或數位輸入連接來提供用以監視製程之信號。用以控制製程之信號係輸出在設備的類比及數位輸出連接上。
可用許多不同的方式來設計或配置系統軟體。例如,可撰寫各種腔室元件子程序或控制目標碼來控制完成沉積製程所需之腔室元件的操作。用於此用途之程式或程式片段的範例包括:處理步驟之基板時序碼、前驅物及惰性氣體之流率及溫度碼、及腔室之壓力碼。
噴淋頭模組211較佳係溫度受控的,且底座較佳係經RF供電。溫度受控、RF供電之噴淋頭模組的示例性實施例可在共同受讓的美國專利公開案第2013/0316094號中找到,在此藉由參照將其全文引入。
依據本文所揭露之實施例,噴淋頭模組較佳係包含噴淋頭傾斜調整機制,以用於手動調整噴淋頭模組的傾斜、角度、間隙、及平面化。如圖3A及3B所示,噴淋頭模組211較佳係包括桿部305、包含背板317及面板316的基底315、以及用以調整噴淋頭模組211之平面化的噴淋頭傾斜調整機制400。噴淋頭模組211之平面化亦可透過擰緊或鬆開120°間隔定位的三個調整螺釘405而進行粗調。調整螺釘405包含粗螺紋與細螺紋,其可用於手動調整噴淋頭模組211的傾斜及軸向位置。調整螺釘405與以下更詳細說明的鎖定螺帽及校平板中之螺紋搪孔嚙合。
在一實施例中,可利用作為噴淋頭調整機制之一部分的三個傾斜調整機制以調整噴淋頭模組211之面板316的平面化,俾手動地提供三自由度:軸向平移及兩傾斜方向。參照圖3A及3B,噴淋頭模組211係由伸縮囊組件500所支撐,該伸縮囊組件500包含軸環502、伸縮囊504、及校平板506。可使冷卻板508附著於校平板506。
如圖3A所示,噴淋頭模組211較佳係被支撐於化學分離腔室(亦即,反應腔室)之頂板330中。頂板330較佳係支撐軸環502於階狀搪孔中。頂板330之水平上表面較佳係具有開口,例如螺紋開口,其中軸環502中用於容納緊固件512的相應開口包含至少三個緊固件512,該等緊固件512使軸環502附著於頂板330。軸環502支撐噴淋頭傾斜調整機制400在頂板330中之剩餘部分。噴淋頭傾斜調整機制400係透過頂板330而電接地。
O型環514在校平板506與冷卻板508之間形成氣密密封(亦即,氣封),冷卻板508係透過三個調整螺釘405而被支撐於軸環502之上,其中三個調整螺釘405亦為可操作的,以相對於軸環502而粗調冷卻板508之平面化。如下更加詳細地說明,各調整螺釘405之上端被擰入校平板506之螺紋搪孔中,且相應的各調整螺釘405之下端被擰入鎖定螺帽516中,該鎖定螺帽516在未與鎖定板518嚙合時隨著調整螺釘405而自由轉動,或者鎖定螺帽516可透過與鎖定板518嚙合而被鎖定,使得在調整螺釘405轉動時不轉動。噴淋頭桿部305延伸通過軸環502、伸縮囊504、及校平板506中的中心開口,且桿部305之上端附著於校平板506,因此可透過轉動調整螺釘405而將面板316傾斜至期望角度。
伸縮囊504較佳係在軸環502與校平板506之間形成具氣密膨脹性及彈性的真空密封,其中桿部305延伸通過氣密膨脹性真空密封,使得噴淋頭模組211之平面化可在不破壞氣密膨脹性真空密封的情況下加以調整。伸縮囊504較佳係在上端處焊接於校平板506、而在下端處焊接於軸環502。
可透過三個以上的緊固件512而使噴淋頭傾斜調整機制400附著於化學分離腔室之頂板330。噴淋頭傾斜調整機制較佳係包含三個差動螺釘組件,其中各個差動螺釘組件提供一運動自由度。三個差動螺釘組件會提供三運動自由度:兩傾斜度以及軸向位置。
圖4A-4D顯示噴淋頭傾斜調整機制的進一步細節及噴淋頭傾斜機制提供間隙粗調與細調之方法。調整螺釘405包含第一外螺紋區段405a及第二外螺紋區段405b,其中第一外螺紋區段405a與校平板506上向上延伸的管狀凸部506b中的內螺紋區段506a嚙合,且第二外螺紋區段405b與鎖定螺帽516之內螺紋嚙合。第一螺紋區段405a及第二螺紋區段405b較佳係具有定向於相同方向的不同螺距。調整螺釘405之上端包含可與諸如六角螺絲起子之工具(未顯示)嚙合的承窩405c,且承窩405c之上部中的凹槽405d係用於容納指示蓋的凸部。諸如螺栓之緊固件520係位在調整螺釘405內,且下端520a被擰入軸環502之螺紋孔中,而在上端處的加大頭部520b係容納於承窩405c內。頭部520b填充承窩405c的下部,因此如六角螺絲起子之工具可與承窩405c之剩餘部分嚙合以在間隙/傾斜調整期間轉動調整螺釘405。
鎖定板518包含在一端的手柄518a、在另一端的寬凹槽518b、及由寬凹槽518b延伸的窄凹槽518c。緊固件512的軸部延伸通過窄凹槽518c、並容許鎖定板518徑向往內滑動以與鎖定螺帽516嚙合。如圖4A-4B所示,當鎖定板518未與鎖定螺帽516嚙合時,鎖定螺帽516隨著調整螺釘405轉動以提供間隙粗調。如圖4C-4D所示,當鎖定板518與鎖定螺帽516嚙合時,使得鎖定螺帽516避免隨著調整螺釘405轉動,藉以提供間隙微調。鎖定板518包含參考標記518d,其提供了鎖定板518未與鎖定螺帽516嚙合時的可見指示(如圖4A-4B所示,參考標記518d在校平板506的外周之外)、以及鎖定板518與鎖定螺帽516嚙合時的可見指示(如圖4C-4D所示,參考標記518d在校平板506的外周之內)。
圖5顯示密接於調整螺釘405之承窩405c中的指示蓋522之細節。指示蓋522包含凸部522a,其密接於調整螺釘405的凹槽405d中。在進行間隙/傾斜調整時,可將指示蓋522置於調整螺釘405上,並可記錄其角位置。接著,移除指示蓋,並在鎖定螺帽516未與鎖定板518嚙合或鎖定螺帽516與鎖定板518嚙合的情況下藉由轉動調整螺釘405而執行間隙/傾斜調整。當完成間隙/傾斜調整時,將指示蓋522置於調整螺釘405上,並可記錄其角位置。
如圖6所示,指示蓋可包含由圓形刻度盤522c向上延伸的指針522b,該圓形刻度盤522c具有在圓周上間隔的指示標記522d,其提供間隙/傾斜調整之前與之後的指針角位置之可見指示。
調整螺釘405亦可用於噴淋頭模組211之位置的粗調與微調。依據螺距的選擇,調整螺釘405每旋轉一圈可達成約0.02至約0.04英吋之範圍內的粗調、及約0.002至0.004英吋之範圍內的微調。例如,粗調可為每轉調整螺釘0.03125英吋,且微調可為每轉調整螺釘0.0035英吋。
雖然已參照其具體實施例來詳細敘述包括傾斜調整配置之半導體基板處理設備,但在不偏離隨附申請專利範圍的情況下,對於本領域中具有通常知識者而言,可實施各種變化和修改、以及採用各種等效方式為顯而易見的。
13‧‧‧基板
201‧‧‧半導體基板處理設備
202‧‧‧低頻(LF)RF產生器
203‧‧‧氣體管線
204‧‧‧高頻(HF)RF產生器
208‧‧‧匹配網路
209‧‧‧真空源
211‧‧‧噴淋頭模組
220‧‧‧加熱器組件
223‧‧‧可動底座模組/基板底座模組
228‧‧‧控制器
229‧‧‧質量流量控制器
235‧‧‧真空管線
305‧‧‧桿部
315‧‧‧基底
316‧‧‧面板
317‧‧‧背板
318‧‧‧處理區域
330‧‧‧頂板
400‧‧‧噴淋頭傾斜調整機制
405‧‧‧調整螺釘
405a‧‧‧第一螺紋區段
405b‧‧‧第二螺紋區段
405c‧‧‧承窩
405d‧‧‧凹槽
500‧‧‧伸縮囊組件
502‧‧‧軸環
504‧‧‧伸縮囊
506‧‧‧校平板
506a‧‧‧內螺紋區段
506b‧‧‧管狀凸部
508‧‧‧冷卻板
512‧‧‧緊固件
514‧‧‧O型環
516‧‧‧鎖定螺帽
518‧‧‧鎖定板
518a‧‧‧手柄
518b‧‧‧寬凹槽
518c‧‧‧窄凹槽
518d‧‧‧參考標記
520‧‧‧緊固件
520a‧‧‧下端
520b‧‧‧頭部
522‧‧‧指示蓋
522a‧‧‧凸部
522b‧‧‧指針
522c‧‧‧圓形刻度盤
522d‧‧‧標記
圖1圖示顯示依據本文所揭露之實施例的化學沉積設備之概觀的示意圖。
圖2圖示繪示為實現本文所揭露之實施例所設置之各種設備元件的簡明方塊圖,其中電漿可用於在薄膜產生期間輔助沉積及/或反應物種間的表面反應。
圖3A及圖3B分別圖示依據本文所揭露之實施例所設置之噴淋頭模組的橫剖面及俯視圖。
依據本文所揭露之實施例,圖4A-4D圖示間隙調整配置,其中圖4A-4B顯示在鎖定板未與鎖定螺帽嚙合之情況下實現粗調的方法,而圖4C-4D顯示在鎖定板與鎖定螺帽嚙合之情況下實現微調的方法。
依據本文所揭露之實施例,圖5圖示指示蓋密接於空心調整螺釘上的方法。
圖6圖示裝設於空心調整螺釘上以指示間隙調整之後的旋轉角度的指示蓋。

Claims (20)

  1. 一種用於處理半導體基板的半導體基板處理設備,其包含: 一化學分離腔室,個別的半導體基板在其中接受處理,該化學分離腔室包含一頂板,該頂板形成該化學分離腔室的上壁; 一處理氣體源,其與該化學分離腔室流體連通,用以將至少一處理氣體供應至該化學分離腔室中; 一噴淋頭模組,其將該處理氣體自該處理氣體源輸送至該半導體基板處理設備的處理區域,該等個別的半導體基板在其中接受處理,該噴淋頭模組包含附著於一桿部之下端的一基底,其中具有氣體通道從中通過的面板形成該基底的下表面,且該桿部延伸通過該頂板中之垂直延伸的搪孔; 一基板底座模組,其係配置以在該半導體基板之處理期間將該半導體基板支撐於該面板下方的該處理區域中; 一伸縮囊組件,其支撐該噴淋頭模組,該伸縮囊組件包含一軸環、一伸縮囊、及一校平板,該軸環具有與該頂板中之該搪孔對準的中心開口,該伸縮囊環繞該軸環中的該中心開口、且具有附著於該軸環之上表面的下端及附著於該校平板之下表面的上端,該校平板具有與該頂板中之該搪孔對準的中心開口; 至少一噴淋頭傾斜調整機制,其係可操作以相對於鄰近該面板的該基板底座模組之上表面而調整該噴淋頭模組之該面板的傾斜,其中該噴淋頭傾斜調整機制包含一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽,該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係與該校平板之內螺紋搪孔嚙合,該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合,該鎖定螺釘具有與該軸環中之螺紋搪孔嚙合的下部外螺紋區段、及與該空心螺釘中之上部承窩之肩部嚙合的上部螺釘頭,並且該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。
  2. 如申請專利範圍第1項之用於處理半導體基板的半導體基板處理設備,其中該至少一噴淋頭傾斜調整機制包含三個噴淋頭傾斜調整機制,該三個噴淋頭傾斜調整機制係在120°間隔之位置處由該伸縮囊向外相隔開。
  3. 如申請專利範圍第1項之用於處理半導體基板的半導體基板處理設備,其中該鎖定板可在該第一與第二位置之間於徑向上移動。
  4. 如申請專利範圍第1項之用於處理半導體基板的半導體基板處理設備,其中該校平板包含向上延伸的管狀區段,其中該管狀區段之內表面包含該內螺紋搪孔。
  5. 如申請專利範圍第1項之用於處理半導體基板的半導體基板處理設備,其中該鎖定板包含在其外端之由該軸環向外延伸的一手柄、在其內端之可與該鎖定螺帽嚙合的一寬凹槽、及由該寬凹槽向外延伸的一窄凹槽、並且一鎖定板螺釘延伸通過該窄凹槽且被擰入該軸環中,該鎖定板螺釘具有一螺釘頭,可使該螺釘頭抵靠該鎖定板而擰緊以避免該鎖定板移動。
  6. 如申請專利範圍第1項之用於處理半導體基板的半導體基板處理設備,其中該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
  7. 一種噴淋頭傾斜調整機制,其係配置為提供一噴淋頭模組之間隙粗調與間隙微調,該噴淋頭模組係透過一伸縮囊組件而支撐於半導體基板處理設備之頂板中,其中該噴淋頭傾斜調整機制包含: 一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽; 該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係配置為與該伸縮囊組件之校平板之內螺紋搪孔嚙合,該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合; 該鎖定螺釘具有配置為與該伸縮囊組件之軸環中之螺紋搪孔嚙合的一下部外螺紋區段、及與該空心螺釘中之上部承窩之肩部嚙合的上部螺釘頭;以及 該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。
  8. 如申請專利範圍第7項之噴淋頭傾斜調整機制,其中該空心螺釘上的該第一與第二螺紋區段具有相同的方向。
  9. 如申請專利範圍第7項之噴淋頭傾斜調整機制,其中該鎖定板包含在其外端的一手柄、在其內端之可與該鎖定螺帽嚙合的一寬凹槽、及由該寬凹槽向外延伸的一窄凹槽,該窄凹槽係配置為容納被擰入該軸環中的鎖定板螺釘,該鎖定板螺釘具有一螺釘頭,可使該螺釘頭抵靠該鎖定板而擰緊以避免該鎖定板移動。
  10. 如申請專利範圍第7項之噴淋頭傾斜調整機制,其中該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
  11. 一種噴淋頭模組,其將處理氣體自處理氣體源輸送至半導體基板處理設備之處理區域,個別的半導體基板在其中接受處理,該噴淋頭模組包含: 一基底,其係附著於一桿部之下端,其中具有氣體通道從中通過的面板形成該基底的下表面,且該桿部係配置為延伸通過該半導體基板處理設備之頂板中之垂直延伸的搪孔; 一伸縮囊組件,其支撐該噴淋頭模組,該伸縮囊組件包含一軸環、一伸縮囊、及一校平板,該軸環具有與該頂板中之該搪孔對準的中心開口,該伸縮囊環繞該軸環中的該中心開口、且具有附著於該軸環之上表面的下端及附著於該校平板之下表面的上端,該校平板具有與該頂板中之該搪孔對準的中心開口; 至少一噴淋頭傾斜調整機制,其係可操作以調整該噴淋頭模組之該面板的傾斜,其中該噴淋頭傾斜調整機制包含一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽,該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係與該校平板之內螺紋搪孔嚙合,該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合,該鎖定螺釘具有與該軸環中之螺紋搪孔嚙合的下部外螺紋區段、及與該空心螺釘中之上部承窩之肩部嚙合的上部螺釘頭,並且該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。
  12. 如申請專利範圍第11項之噴淋頭模組,其中該至少一噴淋頭傾斜調整機制包含三個噴淋頭傾斜調整機制,該三個噴淋頭傾斜調整機制係在120°間隔之位置處由該伸縮囊向外相隔開。
  13. 如申請專利範圍第11項之噴淋頭模組,其中該鎖定板可在該第一與第二位置之間於徑向上移動。
  14. 如申請專利範圍第11項之噴淋頭模組,其中該校平板包含向上延伸的管狀區段,其中該管狀區段之內表面包含該內螺紋搪孔。
  15. 如申請專利範圍第11項之噴淋頭模組,其中該鎖定板包含在其外端之由該軸環向外延伸的一手柄、在其內端之可與該鎖定螺帽嚙合的一寬凹槽、及由該寬凹槽向外延伸的一窄凹槽、並且一鎖定板螺釘延伸通過該窄凹槽且被擰入該軸環中,該鎖定板螺釘具有一螺釘頭,可使該螺釘頭抵靠該鎖定板而擰緊以避免該鎖定板移動。
  16. 如申請專利範圍第15項之噴淋頭模組,其中該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
  17. 一種控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法,該方法包含: 量測在該半導體基板處理設備之處理腔室中受處理的晶圓各處的IPD變化; 利用三個噴淋頭傾斜調整機制調整該半導體基板處理設備之噴淋頭的傾斜,該三個噴淋頭傾斜調整機制係配置為提供IPD的粗調及微調,其中該等噴淋頭傾斜調整機制之各者包含一鎖定螺釘、一空心螺釘、一鎖定板、及一鎖定螺帽,其係設置以使附著於該噴淋頭的可動部分與該處理腔室中的固定部分之間的間隙改變; 其中該空心螺釘具有在其外表面上的第一螺紋區段及在該外表面上的第二螺紋區段,該第一螺紋區段具有與該第二螺紋區段之螺距不同的螺距,該第一螺紋區段係與該可動部分之內螺紋搪孔嚙合,而該第二螺紋區段係與該鎖定螺帽的內螺紋嚙合; 該鎖定螺釘具有被擰入該固定部分內之搪孔中的下端、及與該空心螺釘中之上部的承窩之肩部嚙合的上部螺釘頭;以及 該鎖定板可從第一位置移動至第二位置,在該第一位置時該鎖定螺帽隨著該空心螺釘轉動,而在該第二位置時該鎖定螺帽無法轉動,該噴淋頭傾斜調整機制在該鎖定板位於該第一位置時提供粗調、而在該鎖定板位於該第二位置時提供微調。
  18. 如申請專利範圍第17項之控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法,其包含透過將該等噴淋頭傾斜調整機制之其中一者的該鎖定板定位於該第一位置、並將該空心螺釘轉動至第一徑向位置而進行粗調,以及透過將該鎖定板移動至該第二位置、並將該空心螺釘轉動至IPD減低之第二徑向位置而進行微調。
  19. 如申請專利範圍第18項之控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法,其中一凹槽延伸通過該承窩的壁部,該方法更包含:將具有上部之對準標記的一指示蓋置於該空心螺釘上以使該指示蓋上的凸部密接於該凹槽內,記錄該對準標記的調整前角度,移除該指示蓋並進行IPD調整,將該指示蓋置於該空心螺釘上並記錄該對準標記的調整後角度。
  20. 如申請專利範圍第17項之控制肇因於半導體基板處理設備中之噴淋頭傾斜的面內變形(IPD)之方法,其中該第一與第二螺紋區段具有相同的方向,且該等噴淋頭傾斜調整機制之各者在該鎖定板位於該第一位置時可提供該空心螺釘每一轉約0.02至約0.04英吋的間隙粗調、以及在該鎖定板位於該第二位置時可提供該空心螺釘每一轉約0.002至約0.004英吋的間隙微調。
TW107125278A 2017-07-25 2018-07-23 噴淋頭傾斜機制 TWI772469B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/658,911 US10190216B1 (en) 2017-07-25 2017-07-25 Showerhead tilt mechanism
US15/658,911 2017-07-25

Publications (2)

Publication Number Publication Date
TW201930639A true TW201930639A (zh) 2019-08-01
TWI772469B TWI772469B (zh) 2022-08-01

Family

ID=65032046

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111124482A TWI816455B (zh) 2017-07-25 2018-07-23 噴淋頭傾斜機制
TW107125278A TWI772469B (zh) 2017-07-25 2018-07-23 噴淋頭傾斜機制

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111124482A TWI816455B (zh) 2017-07-25 2018-07-23 噴淋頭傾斜機制

Country Status (7)

Country Link
US (2) US10190216B1 (zh)
JP (2) JP7199414B2 (zh)
KR (1) KR20200023511A (zh)
CN (2) CN115074700A (zh)
SG (1) SG11202000617PA (zh)
TW (2) TWI816455B (zh)
WO (1) WO2019022978A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10533251B2 (en) 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism
KR20220051236A (ko) * 2019-08-23 2022-04-26 램 리써치 코포레이션 열 제어된 (thermally controlled) 샹들리에 샤워헤드
CN110696322A (zh) * 2019-08-23 2020-01-17 白银有色长通电线电缆有限责任公司 一种挤塑机机头位置调整装置
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
WO2021173274A1 (en) * 2020-02-25 2021-09-02 The Regents Of The University Of Michigan Mechatronic spatial atomic layer deposition system with closed-loop feedback control of parallelism and component alignment
JP2023532425A (ja) * 2020-06-23 2023-07-28 ラム リサーチ コーポレーション 自動シャワーヘッド傾斜調整
KR102650914B1 (ko) * 2021-11-17 2024-03-26 주식회사 테스 기판처리장치
JP2023117775A (ja) 2022-02-14 2023-08-24 東京エレクトロン株式会社 基板処理装置
WO2024050248A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation A temperature controlled shower head for a processing tool

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4234177A (en) 1979-04-09 1980-11-18 Mcdougal Thomas F Differential screw device for workholders and the like
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
JPS6373292U (zh) * 1986-10-30 1988-05-16
JP2665242B2 (ja) 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
JPH0595750U (ja) * 1992-06-01 1993-12-27 セイコー電子工業株式会社 ヘッド位置調整機構
US5522398A (en) 1994-01-07 1996-06-04 Medsol Corp. Bone marrow biopsy needle
US5751537A (en) 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US7413612B2 (en) 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
JP2005039123A (ja) * 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
CN101124663B (zh) * 2004-07-09 2010-12-01 积水化学工业株式会社 用于处理基板的外周部的方法及设备
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
JP4877748B2 (ja) * 2006-03-31 2012-02-15 東京エレクトロン株式会社 基板処理装置および処理ガス吐出機構
US20080017116A1 (en) 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US7368930B2 (en) 2006-08-04 2008-05-06 Formfactor, Inc. Adjustment mechanism
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8257548B2 (en) 2008-02-08 2012-09-04 Lam Research Corporation Electrode orientation and parallelism adjustment mechanism for plasma processing systems
US20090260571A1 (en) * 2008-04-16 2009-10-22 Novellus Systems, Inc. Showerhead for chemical vapor deposition
US8398777B2 (en) 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8292243B2 (en) 2008-09-03 2012-10-23 Twin Turbine Technologies Llc Compound differential thread systems
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
DE102009014718A1 (de) 2009-03-27 2010-09-30 Astrium Gmbh Vorrichtung zur Feineinstellung des Abstandes zwischen zwei Elementen
JP5822823B2 (ja) 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
JP5396353B2 (ja) 2009-09-17 2014-01-22 日本碍子株式会社 静電チャック及びその製法
JP5218865B2 (ja) 2010-03-26 2013-06-26 Toto株式会社 静電チャック
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8431033B2 (en) 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
US20120227665A1 (en) * 2011-03-11 2012-09-13 Applied Materials, Inc. Apparatus for monitoring and controlling substrate temperature
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
US8883637B2 (en) 2011-06-30 2014-11-11 Novellus Systems, Inc. Systems and methods for controlling etch selectivity of various materials
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130316094A1 (en) 2012-05-25 2013-11-28 Novellus Systems, Inc. Rf-powered, temperature-controlled gas diffuser
US9430593B2 (en) 2012-10-11 2016-08-30 Kla-Tencor Corporation System and method to emulate finite element model based prediction of in-plane distortions due to semiconductor wafer chucking
US10401279B2 (en) * 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
CN103745902A (zh) 2013-12-16 2014-04-23 深圳市华星光电技术有限公司 Pecvd处理装置及在基板上进行pecvd处理的方法
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10533251B2 (en) * 2015-12-31 2020-01-14 Lam Research Corporation Actuator to dynamically adjust showerhead tilt in a semiconductor processing apparatus
US10190216B1 (en) 2017-07-25 2019-01-29 Lam Research Corporation Showerhead tilt mechanism

Also Published As

Publication number Publication date
JP2023036763A (ja) 2023-03-14
JP7439229B2 (ja) 2024-02-27
KR20200023511A (ko) 2020-03-04
WO2019022978A1 (en) 2019-01-31
US10190216B1 (en) 2019-01-29
TWI772469B (zh) 2022-08-01
US10760160B2 (en) 2020-09-01
CN115074700A (zh) 2022-09-20
CN110997976B (zh) 2022-06-07
JP7199414B2 (ja) 2023-01-05
US20190032214A1 (en) 2019-01-31
TWI816455B (zh) 2023-09-21
JP2020529126A (ja) 2020-10-01
CN110997976A (zh) 2020-04-10
TW202242189A (zh) 2022-11-01
US20190153601A1 (en) 2019-05-23
SG11202000617PA (en) 2020-02-27

Similar Documents

Publication Publication Date Title
TWI816455B (zh) 噴淋頭傾斜機制
US10748747B2 (en) Edge exclusion control with adjustable plasma exclusion zone ring
TWI658872B (zh) 用於半導體基板處理設備之噴淋頭模組的滾珠螺桿噴淋頭模組調整器組件
US20090260571A1 (en) Showerhead for chemical vapor deposition
US20080179297A1 (en) Bevel etcher with gap control
TW201842620A (zh) 具有改良的處理均勻性之基板支撐件
JP2022522467A (ja) 基板搬送ロボットの自動較正用治具
TWI810227B (zh) 用於邊緣環耗損補償的系統和方法
US20220282380A1 (en) Pedestal setup using camera wafer
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
JP2001230307A (ja) 半導体製造装置
TW202015148A (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
TWI776862B (zh) 無螺栓基板支撐組件
JP7497420B2 (ja) カメラウエハを使用した台座セットアップ
TW202209395A (zh) 具有整合式密封件的冷卻邊緣環