KR20150066581A - 이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치 - Google Patents

이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20150066581A
KR20150066581A KR1020157012105A KR20157012105A KR20150066581A KR 20150066581 A KR20150066581 A KR 20150066581A KR 1020157012105 A KR1020157012105 A KR 1020157012105A KR 20157012105 A KR20157012105 A KR 20157012105A KR 20150066581 A KR20150066581 A KR 20150066581A
Authority
KR
South Korea
Prior art keywords
substrate
volume
ion shield
processing
layer
Prior art date
Application number
KR1020157012105A
Other languages
English (en)
Other versions
KR102155146B1 (ko
Inventor
제프리 토빈
버나드 엘. 황
칸펭 라이
라라 하우릴체크
웨이 리우
요하네스 스웬버그
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150066581A publication Critical patent/KR20150066581A/ko
Application granted granted Critical
Publication of KR102155146B1 publication Critical patent/KR102155146B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판을 처리하기 위한 방법 및 장치가 제공된다. 일부 실시예들에서, 제1 층을 갖는 기판을 처리하는 방법은, 바이어스 전력이 인가되는 이온 실드 아래의 프로세스 챔버의 하부 처리 용적에서의 기판 지지체의 최상부에 기판을 배치하는 단계 - 이온 실드는 기판 지지체에 평행하게 지지되는 실질적으로 평평한 부재, 및 이 평평한 부재를 관통하여 형성되는 복수의 어퍼쳐를 포함하고, 어퍼쳐 직경 대 평평한 부재의 두께의 비율은 약 10:1 내지 약 1:10의 범위를 가짐 -; 이온 실드보다 위의 상부 처리 용적으로 프로세스 가스를 유동시키는 단계; 상부 처리 용적 내에서 프로세스 가스로부터 플라즈마를 형성하는 단계; 이온 실드를 통과하는 중성 라디칼들로 제1 층을 가공하는 단계; 및 제1 층을 가공하는 동안, 기판을 약 550℃까지의 온도로 가열하는 단계를 포함할 수 있다.

Description

이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치{METHODS AND APPARATUS FOR PROCESSING SUBSTRATES USING AN ION SHIELD}
본 발명의 실시예들은 일반적으로 반도체 처리 장비에 관한 것이다.
본 발명자들은, 디바이스 측벽 및 막의 최상부면의 형상추종 도핑(conformal doping)을 방해하는 플라즈마 시스(plasma sheath)의 비-형상추종 속성(non-conformal nature)으로 인해, 전형적인 플라즈마 이온 노출을 이용하여서는 3D 디바이스 구조물들의 질화(nitridation)가 쉽게 수행될 수 없음을 관찰하였다. 대신에, 본 발명자들은, 3D 형상추종 질화가 라디칼 또는 중성 종 중심의 반응들(radical or neutral species driven reactions)을 필요로 한다고 생각한다. 하프늄 산화물계 3D 고-k 게이트 스택(hafnium oxide based 3D high-k gate stack)을 질화하는 방법 중 하나는 암모니아, 그리고 선택적으로는 불활성 가스, 및/또는 질소 가스(N2)를 이용하여 발생되는 유도 결합 플라즈마의 이용을 통한 것이다. 그러나, 본 발명자들은, 이러한 프로세스가 수소 라디칼들 및 수소 이온들 둘 다를 포함하는 다수의 반응성 수소 종들의 형성을 또한 초래한다는 것을 관찰하였다. 이러한 반응성 수소 종들은 잠재적으로는 질화된 막에 침투할 수 있고, 게이트 스택 재료들과 부정적으로 상호작용할 수 있다. 추가로, 본 발명자들은, 이러한 프로세스가 다수의 불활성 가스 및/또는 질소 이온들의 형성을 또한 초래하며, 이것 역시 바람직하지 않게 비-형상추종 처리 결과에 기여한다는 것을 관찰하였다. 본 발명자들은, 반응성 수소 종들이 침투하여 게이트 스택 재료들과 상호작용하기 전에 반응성 수소 종들을 감소시키거나 제거하면 디바이스 고장을 방지할 수 있으며, 불활성 가스 및/또는 이온들이 기판과 상호작용하기 전에 그것들을 감소시키거나 제거하면 형상추종 처리 결과를 증강할 수 있음을 제안한다.
그러한 것으로서, 본 발명자들은, 재료들, 예컨대 3D 디바이스 구조물들에서의 재료들을 질화하기 위한 개선된 방법 및 장치를 제공한다.
여기에서는, 기판을 처리하기 위한 방법 및 장치가 제공된다. 일부 실시예들에서, 그러한 처리는 기판을 질화하는 것을 포함한다. 일부 실시예들에서, 제1 층이 위에 배치되어 있는 기판을 처리하는 방법 - 예를 들어, 제1 층은, 기판 상에 제조되고 있거나 이 기판 상에 배치되는 3D 디바이스의 일부분임 - 은, 바이어스 전력이 인가되는 이온 실드 아래의 프로세스 챔버의 하부 처리 용적에 배치된 기판 지지체의 최상부에 기판을 배치하는 단계 - 이온 실드는 기판 지지체에 평행하게 지지되는 실질적으로 평평한 부재, 및 이 평평한 부재를 관통하여 형성되는 복수의 어퍼쳐를 포함하고, 평평한 부재의 두께에 대한 어퍼쳐들의 직경의 비율은 약 10:1 내지 약 1:10의 범위를 가짐 -; 이온 실드보다 위의 상부 처리 용적으로 프로세스 가스를 유동시키는 단계; 상부 처리 용적 내에서 프로세스 가스로부터 플라즈마를 형성하는 단계; 이온 실드를 통과하는 중성 라디칼들로 제1 층을 가공(treating)하는 단계; 및 제1 층을 가공하는 동안, 기판을 약 550℃까지의 온도로 가열하는 단계를 포함할 수 있다.
일부 실시예들에서, 기판 처리 장치는, 상부 처리 용적과 하부 처리 용적을 갖는 처리 용적을 정의하는 챔버 바디; 하부 처리 용적 내에 배치된 기판 지지체; 처리 용적에 배치되고, 처리 용적을 상부 처리 용적 및 하부 처리 용적으로 분할하는 이온 실드 - 이온 실드는 기판 지지체에 평행하게 지지되는 실질적으로 평평한 부재를 포함하고, 실질적으로 평평한 부재를 관통하여 형성되는 복수의 어퍼쳐를 가지며, 실질적으로 평평한 부재의 두께에 대한 어퍼쳐들의 직경의 비율은 약 10:1 내지 약 1:10의 범위를 가짐 -; 이온 실드에 연결된 바이어스 전력원; 처리 용적 내에 배치되어, 이온 실드를 기판 지지체보다 위에서 기판에 대해 실질적으로 평행한 배향으로 지지하도록 구성된 실드 지지체; 기판 지지체 상에 배치된 때의 기판에 열 에너지를 제공하기 위한 열원; 및 상부 처리 용적 내에서 플라즈마를 형성하기 위한 RF 전력원을 포함할 수 있다.
본 발명의 다른 실시예들 및 추가의 실시예들이 이하에 설명된다.
위에서 간략하게 요약하고 이하에 더 상세하게 논의되는 본 발명의 실시예들은 첨부 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 발명의 일부 실시예들에 따라 기판을 처리하는 방법의 흐름도를 도시한다.
도 2a 및 도 2b는 본 발명의 일부 실시예들에 따른 기판 처리 챔버의 개략도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따른 이온 실드의 부분 사시도를 도시한다.
도 4a 내지 도 4c는 본 발명의 일부 실시예들에 따른 기판의 최상부의 질화된 층의 제조 스테이지들을 도시한다.
이해를 쉽게 하기 위해, 가능한 경우에는 도면들에 공통인 동일한 구성요소를 지칭하는 데에 동일한 참조 번호들이 이용되었다. 도면들이 비례에 맞춰 그려지지는 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 구성요소들 및 특징들은 더 이상의 언급 없이도 다른 실시예들에서 유리하게 포함될 수 있을 것으로 생각된다.
본 발명의 실시예들은 기판을 처리하기 위한 개선된 방법 및 장치를 제공한다. 본 발명의 실시예들은, 수소 라디칼들 및 수소 이온들과 같은 반응성 종들(reactive species)뿐만 아니라, 암모니아 소스로부터 형성된 유도 결합 플라즈마로부터 기인하는 다른 이온들의 영향을 감소시킴으로써, 3D 구조물들, 예컨대 3D 구조물들에서 사용되는 고-k 재료들의 형상추종 질화를 유리하게 허용할 수 있다. 이들 방법 및 장치는 3D 구조물들을 갖지 않는 것들을 포함하는 다른 응용들에서 다른 재료들을 질화하는 데에도 또한 이용될 수 있다.
도 2a 및 도 2b는 본 발명의 일부 실시예들에 따라 기판을 처리하기 위한 프로세스 챔버(200)의 구체적인 실시예들을 도시한다. 프로세스 챔버(200)는 예시의 목적으로 도시되어 있으며, 본 발명의 범위를 제한하는 데에 이용되어서는 안 된다. 도시된 실시예에서, 프로세스 챔버(200)는 실질적으로 평평한 유전체 천장(dielectric ceiling)(212)을 갖는다. 그러나, 프로세스 챔버(200)의 다른 변경들은 다른 유형의 천장들, 예를 들어 돔 형상의 천장을 가질 수 있다.
도 2a 및 도 2b에 도시된 프로세스 챔버(200)는 챔버 바디(204) 내의 슬릿 밸브(224) 및 기판 지지체(202)를 일반적으로 포함한다. 슬릿 밸브(224)는 기판(206)이 기판 지지체(202)에 진입하는 것 및 이 기판 지지체로부터 탈출하는 것을 허용한다. 기판 지지체(202)는, 기판(206)의 제1 층(230)이 처리를 위해 위치되도록 기판(206)을 지지하기 위한 상부 표면을 갖는다. 일부 실시예들에서, 프로세스 챔버(200)는 기판(206)을 원하는 온도로 가열하기 위한 열원(240)을 더 포함한다. 열원(240)은 기판 온도에 대한 제어를 제공하기에 적합한 임의의 유형의 열원, 예를 들어 기판 지지체(202)에 연결된 저항성 가열기, 또는 기판(206)의 표면에 직접 또는 소정의 다른 컴포넌트를 통해 열 에너지를 제공하기 위한 위치에 배치된 가열 램프들(도시되지 않음)일 수 있다. 예를 들어, 일부 실시예들에서, 열원(240)은 정전 척(electrostatic chuck) 내에 배치된 저항성 가열기이며, 이것은 정전 척에 의해 제공되는 체결력(clamping force)으로 인한 기판과 정전 척 사이의 강화된 열 접촉으로 인해, 기판의 온도 제어를 유리하게 강화한다.
챔버 바디(204)는, 처리 용적(208) 내에 배치된 이온 실드(210)에 의해 상부 처리 용적(234)과 하부 처리 용적(236)으로 분할되는 처리 용적(208)을 정의한다. 상부 처리 용적(234)은 이온 실드(210)보다 위에 배치되고, 하부 처리 용적(236)은 이온 실드(210)보다 아래에 배치된다. 상부 처리 용적(234) 및 하부 처리 용적(236)은 이온 실드(210)에서의 개구들에 의해 유동적으로(fluidly) 연결된다.
상부 처리 용적(234)에 프로세스 가스를 공급하기 위해 프로세스 가스 소스(222)가 프로세스 챔버(200)에 연결된다. 일부 실시예들에서, 프로세스 가스는 질화 프로세스에 적합한 질소 함유 가스, 예를 들어 암모니아(NH3)(단독으로, 또는 아르곤(Ar) 등과 같은 불활성 가스와 함께)이다. 일부 실시예들에서, 프로세스 가스는 산화 프로세스에 적합한 산소 함유 가스, 예를 들어 산소(O2)이다. 일부 실시예들에서, 프로세스 가스는 에칭 프로세스에 적합한 할로겐 함유 가스, 예를 들어 염소(Cl2), 불소(F2), 브롬(Br2), 삼불화 질소(NF3), 트리플루오로메탄(CHF3), 염화 수소(HCl), 브롬화 수소(HBr) 등이다.
플라즈마는, 상부 처리 용적(234)에서, 플라즈마 전력원(216)으로부터의 RF 전력을 인가함으로써 프로세스 가스로부터 형성될 수 있다. 플라즈마 전력원(216)은 전극에 연결될 수 있고, 이 전극은 프로세스 챔버의 천장(212)에 또는 이 천장 부근에 배치되어, 프로세스 챔버에 배치된 프로세스 가스들에 RF 전력을 연결하기에 적합하다. 예를 들어, 플라즈마 전력원(216) 및 전극은 용량 결합 플라즈마 및 유도 결합 플라즈마 등을 형성하도록 구성될 수 있다.
플라즈마는, 플라즈마가 수소 라디칼들 및 수소 이온들은 물론, 질소 및/또는 불활성 가스 이온들을 형성할 수 있는 질화 프로세스 등에서, 플라즈마의 다른 성분들에 더하여 반응성 종들을 형성할 수 있다. 이러한 반응성 수소 종들은 잠재적으로는 질화된 막에 침투할 수 있고, 기판 또는 기판 상에 배치된 재료들과 부정적으로 상호작용할 수 있다. 추가로, 불활성 또는 질소 가스 이온들은 또한 기판 상의 3차원 구조물들의 형상추종 반응 또는 처리에 부정적인 영향을 미칠 수 있다. 이온 실드(210)는 질화 또는 다른 프로세스들 동안 프로세스 챔버(200)에서의 반응성 및 중성 종들의 공간 분포를 유리하게 제어한다. 구체적으로, 이온 실드(210)는 반응성 수소 종들 및 다른 이온들이 하부 프로세스 용적(236) 내의 기판(206)에 도달하는 것을 실질적으로 방지한다. 더욱이, 이온 실드(210)는 수소 라디칼들과 같은 높은 표면 재결합률(surface recombination rates)을 갖는 종들이 이온 실드(210)의 표면 상에서 우선적으로 재결합하는 것을 허용하여, 기판(206)의 표면에 도달하기에 바람직한 종들(예를 들어, 질화 프로세스에서의 질소 함유 종들)의 높은 상대 농도를 남긴다.
일부 실시예들에서, 이온 실드(210)는, 질화 프로세스 동안에 이온 스크리닝(ion screening)(예를 들어, 대전된 라디칼들 및 이온들의 감소)을 강화하기 위해 이온 실드(210)의 선택적 바이어싱을 유리하게 허용하는 바이어스 전력원(220)에 연결된다. 바이어스 전력원은 DC 전력원 또는 RF 전력원일 수 있다. 예를 들어, 이온 실드(210)에 인가되는 음의 전압은 양이온들을 이온 실드(210)의 표면으로 끌어당김으로써 양이온들의 스크리닝을 강화할 수 있다. 이온 실드(210)는 알루미늄, 양극산화된 알루미늄(anodized aluminum), 알루미늄 산화물 또는 석영과 같은 전도성 재료로 이루어진다. 일부 실시예들에서, 이온 실드(210)는 챔버 바디(204) 및 기판 지지체(202)로부터 전기적으로 분리된다. 일부 실시예들에서, 이온 실드(210)는 예를 들어 챔버 바디(204) 및/또는 기판 지지체(202)에 전기적으로 연결되는 것에 의해 접지된다. 이온 실드(210)에 사용되는 재료의 선택은 이온 실드(210)의 표면에서의 재결합률의 제어에 기여하도록 선택될 수 있다. 예를 들어, 수소 라디칼들은 석영 표면 상에서보다 알루미늄 표면 상에서 더 쉽게 재결합한다.
이온 실드(210)는 지지 요소에 의해 기판 지지체(202)보다 위에 지지된다. 일부 실시예들에서, 이온 실드(210)가 지지되는 높이는 프로세스 챔버(200) 내에서의 프로세스를 제어하기 위해 달라질 수 있다. 예를 들어, 에칭 프로세스에서, 이온 실드(210)를 기판 지지체(202)에 더 가깝게, 따라서 기판(206)에 더 가깝게 위치시킴으로써 더 빠른 에칭률이 얻어질 수 있다. 대안적으로, 이온 실드(210)를 기판 지지체(202)로부터 더 멀게 위치시킴으로써, 더 낮긴 하지만 더 잘 제어된 에칭률이 얻어질 수 있다. 일부 실시예들에서, 이온 실드(210)의 높이는, 기판(206)과 천장(212) 사이에 약 6인치(15.24cm)의 거리를 갖는 프로세스 챔버(200) 내에서 약 0.5인치(1.27cm) 내지 약 5.5인치(14.0cm) 범위일 수 있다. 일부 실시예들에서, 이온 실드(210)는 기판으로부터 천장까지의 거리가 약 6인치인 프로세스 챔버 내에서 기판(206) 위로 약 2 내지 약 4인치의 거리에서 기판 지지체(202)보다 위에 지지된다. 다른 구성을 갖는 챔버들에서는 다른 지지 높이들이 이용될 수 있다.
이온 실드(210)는 이온 실드(210)를 기판(206) 또는 기판 지지체(202)에 대해 실질적으로 평행한 배향으로 유지하는 방식으로 임의의 적합한 구조를 이용하여 지지된다. 일부 실시예들에서, 실드 지지 요소(238)는, 챔버 벽(204)에(또는 챔버 벽을 따라 배치된 프로세스 공동 라이너(process cavity liner)에) 부착되며 기판 지지체(202)보다 위에서 이온 실드(210)를 지지하는, 도 2a에 도시된 바와 같은 렛지(ledge)(242)이다. 도 2b에 도시된 바와 같은 일부 실시예들에서, 실드 지지 요소(238)는, 프로세스 챔버(200)의 바닥에 연결되며 기판 지지체(202)의 외주부 주위에 위치된 스탠드(244), 또는 이온 실드(210)를 상승 및 하강시키기 위한 리프트 메커니즘(246)(예를 들어, 액추에이터, 모터, 그들의 조합 등)을 갖는 스탠드(244), 또는 프로세스 챔버(200) 내의 임의의 다른 적합한 구조물이다.
예를 들어, 일부 실시예들에서, 리프트 메커니즘(246)은, 기판 지지체(202)에 대한 이온 실드(210)의 위치를, 예를 들어 슬릿 밸브(224) 위와 아래로 연장되는 범위에 걸쳐 제어하기 위해 이온 실드(210)에 연결될 수 있다. 리프트 메커니즘(246)은 이온 실드(210)를 지지할 수 있거나(예를 들어, 리프트 메커니즘이 지지 요소일 수 있음), 또는 리프트 메커니즘(246)은 이온 실드(210)를 지지 요소 상에 놓여 있는 것으로부터 지지 요소(예를 들어, 도 2a에 도시된 렛지(242))보다 위에 배치된 위치로 이동시킬 수 있다. 리프트 메커니즘(246)은, 기판(206)이 슬릿 밸브(224)로부터 처리 챔버(200)에 출입하는 것을 허용하기 위해, 기판(206)보다는 위이지만 슬릿 밸브(224)보다 아래인 제1 위치로부터 슬릿 밸브(224)보다 위인 제2 위치로 이온 실드(210)를 상승시킬 수 있다. 일부 실시예들에서, 리프트 메커니즘(246)은 일반적으로 기판 지지체(202)의 외주부 주위에 위치된다. 리프트 메커니즘(246)의 상측 단부는 이온 실드(210)에 형성된 대응하는 홀로 압입(press fit)될 수 있다. 대안적으로, 리프트 메커니즘(246)의 상측 단부는 이온 실드(210)로, 또는 이온 실드(210)의 밑면에 고정된 브래킷으로 끼워질(threaded) 수 있다. 처리 조건들과 모순되지 않는 다른 고정 방법들도 리프트 메커니즘(246)을 이온 실드(210)에 고정하기 위해 또한 이용될 수 있다.
일부 실시예들에서, 이온 실드(210)를 위한 지지 요소는 전도성 재료로 이루어진다. 일부 실시예들에서, 지지 요소는 양극산화된다. 일부 실시예들에서, 지지 요소는 전도성이 아니고, 접지 경로에 접속된다. 일부 실시예들에서, 사용, 유지보수, 교체 등의 편의를 위해, 이온 실드(210)는 쉽게 교체가능한 프로세스 키트의 일부일 수 있다. 이온 실드(210)는 기존의 프로세스 챔버들에 쉽게 새로 장착되도록 구성될 수 있다고 예상된다.
도 3은 이온 실드(210)의 구체적인 일 실시예의 사시도를 도시한다. 일부 실시예들에서, 이온 실드(210)는 기판 지지체(202)에 평행하게 지지되는 하나 이상의 실질적으로 평평한 부재(214), 및 하나 이상의 평평한 부재(214)를 관통하여 형성되는 복수의 어퍼쳐(218)를 포함한다. 일부 실시예들에서, 프로세스 챔버(200)의 상부 처리 용적(234)에서 형성된 플라즈마로부터 이온 실드(210)와 기판(206) 사이에 위치된 하부 처리 용적(236)으로 전달되는 이온의 양을 조절하기 위해, 어퍼쳐들(218)을 갖는 복수의 평평한 부재(214)가 함께 적층된다. 일부 실시예들에서, 평평한 부재(214)는 플레이트, 스크린, 메시, 또는 그들의 조합을 포함할 수 있다.
복수의 어퍼쳐(218)는, 플레이트(214)의 표면에 걸쳐 크기, 간격 및 기하학적 배열이 달라질 수 있다. 복수의 어퍼쳐(218)는 프로세스 챔버(200)의 상부 처리 용적(234)에서 형성된 플라즈마로부터 이온 실드(210)와 기판(206) 사이에 위치된 하부 처리 용적(236)으로 전달되는 이온의 양을 제어한다. 이와 같이, 어퍼쳐들(218)의 크기 및 수량은 하부 처리 용적(236) 내의 이온 밀도에 영향을 미친다. 예를 들어, 처리가 플라즈마의 중성 라디칼 종들에 의해 주로 제공되도록, 이온 밀도는 실질적으로 낮아질 수 있다.
어퍼쳐들(218)의 크기는 대체적으로 약 0.03인치(0.07cm) 내지 약 3인치(7.62cm) 또는 약 0.125인치 내지 약 1인치의 범위이다. 어퍼쳐들(218)은 플레이트(214)의 표면에서 약 2퍼센트 내지 약 90퍼센트의 개방 영역을 정의하도록 배열될 수 있다. 일 실시예에서, 하나 이상의 어퍼쳐(218)는 약 30퍼센트의 개방 영역을 정의하며 정사각형 그리드 패턴으로 배열된 복수의 대략 1/2인치(1.25cm) 직경의 홀을 포함한다. 홀들은 다른 크기의 홀들 또는 다양한 크기들의 홀들을 이용하여 다른 기하학적 또는 랜덤 패턴으로 배열될 수 있을 것으로 생각된다.
일부 실시예들에서, 홀들의 크기, 형상 및/또는 패터닝은 하부 처리 용적(236)에서의 원하는 이온 밀도에 따라 달라질 수 있다. 예를 들어, 일부 실시예들에서, 기판에 도달하는 종들의 전체 조성을 변경하지 않으면서, 기하학적 패턴에 대응하는 영역들에서의 라디칼들의 농도를 제어하기 위해서, 홀들의 개수가 상대적으로 더 많은 영역들 및 더 적은 영역들을 갖는 기하학적 패턴으로, 유사한 홀 크기가 제공될 수 있다.
일부 실시예들에서, 홀들의 크기, 형상 및 패터닝은 하부 처리 용적(236)에서의 원하는 이온 밀도에 의존하여 달라질 수 있다. 예를 들어, 하부 처리 용적(236)에서의 이온에 대한 라디칼 밀도 비율을 증가시키기 위해서 작은 직경의 더 많은 홀들이 이용될 수 있다. 다른 상황들에서, 하부 처리 용적(236)에서의 라디칼에 대한 이온 밀도 비율을 증가시키기 위해서 다수의 더 큰 홀이 작은 홀들 사이에 산재될 수 있다. 대안적으로, 하부 처리 용적(236)에서의 이온 분포를 컨투어링(contour)하기 위해서 플레이트(214)의 특정 영역들에 더 큰 홀들이 배치될 수 있다.
어퍼쳐들(218)의 크기와 함께, 하나 이상의 실질적으로 평평한 부재(214)의 두께는 각각의 어퍼쳐(218)의 길이를 제어하도록 선택될 수 있다. 이온 실드(210)의 종횡비(즉, 하나 이상의 실질적으로 평평한 부재(214)의 두께에 대한 어퍼쳐들(218)의 직경의 비율)는 하부 처리 영역(236) 내의 이온 밀도를 제어한다. 일부 실시예들에서, 종횡비는 약 10:1 내지 약 1:10 범위이다. 일부 실시예들에서, 종횡비는 약 2:1 내지 약 1:2 범위이다.
도 1은 위에서 설명된 처리 챔버(200)를 이용하여 기판을 처리하는 하나의 예시적인 방법(100)을 도시한다. 일부 실시예들에서, 방법(100)의 적어도 일부는 예를 들어 도 2a 및 도 2b에 관련하여 위에서 설명된 챔버(200)와 같은 기판 처리 챔버에서 수행될 수 있다(다른 적합한 프로세스 챔버들도 대안적으로 이용될 수 있음). 여기에 개시된 교시에 따라 적응될 수 있는 적합한 프로세스 챔버들은 예를 들어 DPN(Decoupled Plasma Nitridation) 반응기, 또는 토로이드형 소스 플라즈마 침지 이온 주입(toroidal source plasma immersion ion implantation) 반응기, 예컨대 CONFORMATM 챔버를 포함하며, 이들 각각은 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 입수가능하다.
또한, 방법(100)은, 본 발명의 일부 실시예들에 따른 기판의 최상부의 질화된 층의 제조 스테이지들을 도시하는 도 4a 내지 도 4c에 관련하여 설명된다. 질화된 층의 제조 스테이지들은 예시의 목적으로 도시된 것이며, 본 발명의 범위를 제한하지는 않는다. 예를 들어, 일부 실시예들에서, 방법(100)은 기판(206)을 산화하거나 에칭하기 위해 이용될 수 있다.
방법(100)은 단계(102)에서 시작하는데, 여기서 프로세스 챔버(200)의 처리 용적(208) 내의 기판 지지체(202)의 최상부에, 그리고 기판 지지체(202) 위로 배치된 이온 실드(210) 아래에 기판(206)이 배치된다.
기판(206)은, 직사각형 또는 정사각형의 패널들뿐만 아니라, 200mm, 300mm 또는 다른 직경의 웨이퍼와 같은 다양한 치수를 가질 수 있다. 기판(206)은, 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘(strained silicon), 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼, 패터닝된 또는 패터닝되지 않은 웨이퍼, SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어 등과 같은 재료를 포함할 수 있다.
기판(206)은 처리될 제1 층(230)을 포함한다. 제1 층(230)은 기판(206)의 베이스 재료(예를 들어, 실리콘)에 의해 또는 베이스 재료의 최상부에 배치된 하나 이상의 층에 의해 정의될 수 있다. 예를 들어, 기판(206)은, 도 4a에 도시된 바와 같이, 하나 이상의 완전하게 또는 부분적으로 제조된 반도체 디바이스(400)를 포함할 수 있다. 반도체 디바이스(400)는 기판(206) 상에 완전하게 또는 부분적으로 형성될 수 있고, 처리될, 예를 들어 질화될 제1 층(230)을 포함한다. (완성된) 반도체 디바이스는 예를 들어 전계 효과 트랜지스터(FET), 동적 랜덤 액세스 메모리(DRAM), 플래시 메모리 디바이스, 또는 3D 디바이스, 예컨대 3D 논리 디바이스, 또는 질화, 산화 또는 에칭 등과 같은 3D 형상추종 처리를 필요로 하는 다른 3D 디바이스들일 수 있다.
제1 층(230)은 예를 들어 트랜지스터 디바이스의 게이트 유전체 층, 플래시 메모리 디바이스의 터널 산화물 층, 게이트 구조물의 최상부의 스페이서 층, 플래시 메모리 디바이스의 IPD(inter-poly dielectric) 층 등으로서 이용될 수 있다. 제1 층(230)은, 제1 층(230)이 이용될 수 있는 특정 용도에 따라 적합한 임의의 두께를 가질 수 있다.
제1 층(230)은 산화물 층, 예컨대 실리콘 산화물(SiO2), 금속 산화물, 하프늄 산화물(HfO2), 하프늄 실리케이트(HfSiOx), 또는 반도체 디바이스에서 이용되며 질화를 필요로 하는 임의의 적합한 산화물 층을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 산화물 층은 천연 산화물 층(native oxide layer)이거나, 또는 아래에 논의되는 산화 프로세스를 포함하는 임의의 적합한 산화 프로세스에 의해 형성될 수 있다. 제1 층(230)은 산화물 층으로 제한될 필요가 없고, 다른 적합한 층들도 여기에 개시되는 본 발명의 방법의 혜택을 받을 수 있다. 예를 들어, 제1 층(230)의 다른 적합한 실시예들은 다른 실리콘 함유 층, 예컨대 SiC, 또는 금속 질화물 층 등을 포함할 수 있다. 또한, 제1 층(230)은, SiO2의 제1 서브층 및 HfO2의 제2 서브층, 또는 SiO2의 제1 서브층 및 HfSiOx의 제2 층 등과 같은 층들의 스택일 수 있다.
제1 층(230)은 클러스터 툴에 연결된 하나 이상의 프로세스 챔버에서 제조될 수 있으며, 이 클러스터 툴은 또한 그에 연결된 프로세스 챔버(200)를 갖는다. 적합한 클러스터 툴의 일례는 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 입수가능한 Gate Stack CENTURA®이다.
다음으로, 단계(104)에서, 프로세스 가스 소스(222)로부터 이온 실드(210)보다 위의 상부 처리 용적(234)으로 프로세스 가스가 유동된다. 일부 실시예들에서, 프로세스 가스는 암모니아(NH3)와 같은 질소 함유 프로세스 가스이다. 플라즈마를 형성하기 위해 암모니아(NH3)를 사용하면, 순수 질소를 이용하여 형성된 플라즈마보다 더 두꺼운 막이 기판(206)의 최상부에 유리하게 생성된다. 질소 함유 프로세스 가스는 약 50 내지 약 1000sccm 또는 약 100 내지 약 500sccm의 유량으로 제공된다. 일부 실시예들에서, 질소 함유 프로세스 가스와 함께 프로세스 챔버로 불활성 가스, 예를 들어 아르곤 또는 헬륨이 제공된다. 아르곤 분위기(ambiance) 내에서 암모니아를 희석하면, 암모니아의 해리가 유리하게 강화되고, 그에 따라 질화 속도가 증가한다. 암모늄/아르곤 프로세스 가스는 약 100 내지 약 2000sccm, 또는 약 200 내지 약 1000sccm의 총 유량으로 제공된다. 암모니아는 프로세스 가스의 약 1% 내지 약 99% 또는 약 2.5 내지 약 25%일 수 있다. 일부 실시예들에서, 프로세스 가스는 산화 프로세스에 적합한 산소 함유 가스, 예를 들어 산소(O2), 오존(O3) 또는 수증기(H2O)이거나, 또는 에칭 프로세스에 적합한 할로겐 함유 가스, 예를 들어 염소(Cl2), 불소(F2), 브롬(Br2), 삼불화 질소(NF3), 트리플루오로메탄(CHF3), 염화 수소(HCl), 브롬화 수소(HBr) 등이다.
다음으로, 단계(106)에서, 프로세스 챔버(200)에서, 프로세스 챔버(200)에 연결된 플라즈마 전력원(예를 들어, 플라즈마 전력원(216))으로부터의 RF 전력을 인가함으로써 질소 함유 프로세스 가스로부터 플라즈마가 형성될 수 있다. 플라즈마는 프로세스 챔버(200)의 상부 처리 용적(234)에서 형성된다. 일부 실시예들에서, RF 전력(연속파 또는 유효 펄스화된 전력(effective pulsed power))이 약 50 내지 약 3000와트의 범위로, 또는 일부 실시예들에서는 약 200 내지 약 1000와트의 범위로 제공된다. RF 전력은 약 2 내지 약 50%의 듀티 사이클로 펄스화될 수 있다. 프로세스 챔버(200) 내의 압력은 약 2mTorr 내지 약 200mTorr, 또는 일부 실시예들에서는 약 10 내지 약 60mTorr의 범위일 수 있다.
선택적으로, 단계(108)에서, 약 10 내지 약 2000볼트 DC 전력 또는 약 10 내지 약 2000와트 RF 전력의 바이어스 전력이 바이어스 전력원(220)에 의해 이온 실드(210)에 인가될 수 있다. 이온 실드(210)에 바이어스 전력을 인가하는 것은 유리하게는 이온 실드(210)에 전압을 인가하여 이온 스크리닝을 강화한다.
다음으로, 도 4b에 도시된 단계(110)에서, 이온 실드(210)를 통하여 하부 처리 용적(236)으로 전달되는 중성 라디칼들(402)을 이용하여 제1 층(230)이 가공된다. 이온 실드(210)를 통과하는 중성 라디칼들(402)은 유리하게는 이온이 거의 존재하지 않거나 전혀 존재하지 않는 우세한 종들(dominant species)이다. 본 발명자들은, 플라즈마 내의 높은 이온 농도가 기판에 끌어당겨지는 이온들에 대해 더 수직인 경로를 야기하며, 이는 3D 디바이스들, 트렌치들, 비아들 등에서와 같이, 최상부면 및 측벽 표면이 처리될 필요가 있는 응용들에서 불량한 형상추종성을 초래한다는 것을 발견하였다. 따라서, 본 발명자들은, 플라즈마 내의 감소된 이온 농도가, 3D 디바이스들, 트렌치들, 비아들 등에서와 같이, 최상부면 및 측벽 표면이 처리될 필요가 있는 응용들에서 형상추종성을 개선한다는 것을 발견하였다.
본 발명자들은, 예를 들어 기판을 가열하는 것에 의해 열 에너지를 제공하는 것이 그러한 라디칼 중심의 형상추종 처리 결과들을 강화한다는 것을 또한 발견하였다. 예를 들어, 도 4c에 도시된 바와 같은 질화 프로세스에서, 중성 라디칼들(402)은 기판(206)의 최상부에 형상추종하면서(conformally) 질화된 제1 층(404)을 야기한다. 대안적으로, 기판(206)은, 산소 함유 프로세스 가스를 제공함으로써 이온 실드(210)를 통과하는 중성 라디칼들을 이용하여 형상추종하면서 산화될 수 있다. 일부 실시예들에서, 기판(206)은, 에천트 종들(etchant species)을 제공함으로써 이온 실드(210)를 통과하는 중성 라디칼들을 이용하여 형상추종하면서 에칭될 수 있다.
일부 실시예들에서, 기판(206)은, 이온 실드(210)를 통과하는 중성 라디칼들(402)을 이용하여 제1 층(230)을 가공하는 동안에 가열된다. 예를 들어, 기판(206)은 실온(약 30℃)으로부터 약 550℃까지, 예를 들어 약 350℃로부터 약 450℃까지 가열될 수 있다. 질화 동안의 프로세스 챔버(200) 내부의 압력은 일반적으로 약 2mTorr 내지 약 200mTorr로, 또는 일부 실시예들에서는 약 10 내지 약 60mTorr로 제어된다. 위에서는 제1 층(230)을 가공하는 것, 또는 형상추종하면서 질화된 제1 층(404)을 형성하는 것을 예시적으로 논의하였지만, 여기에 개시된 본 발명의 방법들은 하나의 층 또는 다수의 층에 형성된 3차원 구조물들을 갖는 기판들을 유리하게 형상추종 처리하는 데에 이용될 수 있다.
이와 같이, 기판들 상의 재료들을 질화하는 방법들, 및 그것을 수행하기 위한 장치가 여기에 개시되었다. 상술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 고안될 수 있다.

Claims (15)

  1. 제1 층이 위에 배치되어 있는 기판을 처리하는 방법으로서 - 상기 제1 층은, 상기 기판 상에 제조되고 있거나 상기 기판 상에 배치되는 3D 디바이스의 일부분임 -,
    바이어스 전력이 인가되는 이온 실드 아래의 프로세스 챔버의 하부 처리 용적에 배치된 기판 지지체의 최상부에 기판을 배치하는 단계 - 상기 이온 실드는 상기 기판 지지체에 평행하게 지지되는 실질적으로 평평한 부재, 및 상기 평평한 부재를 관통하여 형성되는 복수의 어퍼쳐를 포함하고, 상기 평평한 부재의 두께에 대한 상기 어퍼쳐들의 직경의 비율은 약 10:1 내지 약 1:10의 범위를 가짐 -;
    상기 이온 실드보다 위의 상부 처리 용적으로 프로세스 가스를 유동시키는 단계;
    상기 상부 처리 용적 내에서 상기 프로세스 가스로부터 플라즈마를 형성하는 단계;
    상기 이온 실드를 통과하는 중성 라디칼들(neutral radicals)로 상기 제1 층을 가공(treating)하는 단계; 및
    상기 제1 층을 가공하는 동안, 상기 기판을 약 550℃까지의 온도로 가열하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    상기 프로세스 가스는 질소 함유 프로세스 가스를 포함하는, 방법.
  3. 제2항에 있어서,
    상기 질소 함유 프로세스 가스는 암모니아(NH3)인, 방법.
  4. 제3항에 있어서,
    상기 프로세스 가스는 본질적으로 암모니아(NH3) 및 불활성 가스로 구성되는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 프로세스 가스는 약 1 내지 약 99퍼센트의 암모니아(NH3)를 포함하고, 상기 프로세스 가스의 플라즈마를 형성하기 위해 약 50 내지 약 3000와트의 RF 전력이 제공되고, 상기 제1 층을 가공하는 동안, 상기 프로세스 챔버는 약 2 내지 약 200mTorr의 압력으로 유지되는, 방법.
  6. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 플라즈마는 약 50 내지 약 3000와트의 RF 전력을 제공함으로써 형성되는, 방법.
  7. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 제1 층을 가공하는 동안, 상기 처리 용적을 약 2 내지 약 200mTorr의 압력으로 유지하는 단계를 더 포함하는 방법.
  8. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 이온 실드를 바이어스하기 위해서 약 10 내지 약 2000 V DC 또는 약 10 내지 약 2000 W의 RF 전력에서 상기 바이어스 전력을 인가하는 단계를 더 포함하는 방법.
  9. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 실질적으로 평평한 부재의 두께에 대한 상기 어퍼쳐들의 직경의 비율은 약 2:1 내지 약 1:2인, 방법.
  10. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 프로세스 가스는 산소 함유 프로세스 가스를 포함하고, 상기 제1 층을 가공하는 단계는 상기 제1 층을 산화하는 단계를 포함하는, 방법.
  11. 기판 처리 장치로서,
    상부 처리 용적과 하부 처리 용적을 갖는 처리 용적을 정의하는 챔버 바디;
    상기 하부 처리 용적 내에 배치된 기판 지지체;
    상기 처리 용적에 배치되고, 상기 처리 용적을 상기 상부 처리 용적 및 상기 하부 처리 용적으로 분할하는 이온 실드 - 상기 이온 실드는 상기 기판 지지체에 평행하게 지지되는 실질적으로 평평한 부재를 포함하고, 상기 실질적으로 평평한 부재를 관통하여 형성되는 복수의 어퍼쳐를 가지며, 상기 실질적으로 평평한 부재의 두께에 대한 상기 어퍼쳐들의 직경의 비율은 약 10:1 내지 약 1:10의 범위를 가짐 -;
    상기 이온 실드에 연결된 바이어스 전력원;
    상기 처리 용적 내에 배치되어, 상기 이온 실드를 상기 기판 지지체보다 위에서 상기 기판에 대해 실질적으로 평행한 배향으로 지지하도록 구성된 실드 지지체;
    상기 기판 지지체 상에 배치된 때의 기판에 열 에너지를 제공하기 위한 열원; 및
    상기 상부 처리 용적 내에서 플라즈마를 형성하기 위한 RF 전력원
    을 포함하는 기판 처리 장치.
  12. 제11항에 있어서,
    상기 실질적으로 평평한 부재의 두께에 대한 상기 어퍼쳐들의 직경의 비율은 약 2:1 내지 약 1:2인, 기판 처리 장치.
  13. 제11항에 있어서,
    상기 상부 처리 용적은 실질적으로 상기 이온 실드를 통해서만 상기 하부 처리 용적에 유동적으로(fluidly) 연결되는, 기판 처리 장치.
  14. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 바이어스 전력원은, 상기 이온 실드를 바이어스하기 위해서 약 10 내지 약 2000 V DC 또는 약 10 내지 약 2000 W의 RF 전력을 공급하도록 구성되는, 기판 처리 장치.
  15. 제11항 내지 제13항 중 어느 한 항에 있어서,
    상기 상부 처리 용적에 질소 함유 가스를 제공하기 위해 프로세스 챔버에 연결된 질소 함유 가스 소스를 더 포함하는 기판 처리 장치.
KR1020157012105A 2012-10-09 2013-10-03 이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치 KR102155146B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261711495P 2012-10-09 2012-10-09
US61/711,495 2012-10-09
US14/044,090 US9048190B2 (en) 2012-10-09 2013-10-02 Methods and apparatus for processing substrates using an ion shield
US14/044,090 2013-10-02
PCT/US2013/063197 WO2014058697A1 (en) 2012-10-09 2013-10-03 Methods and apparatus for processing substrates using an ion shield

Publications (2)

Publication Number Publication Date
KR20150066581A true KR20150066581A (ko) 2015-06-16
KR102155146B1 KR102155146B1 (ko) 2020-09-11

Family

ID=50432994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157012105A KR102155146B1 (ko) 2012-10-09 2013-10-03 이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US9048190B2 (ko)
KR (1) KR102155146B1 (ko)
CN (1) CN104704613B (ko)
TW (1) TWI613728B (ko)
WO (1) WO2014058697A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190003283A (ko) * 2017-06-30 2019-01-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9355820B2 (en) 2013-09-12 2016-05-31 Applied Materials, Inc. Methods for removing carbon containing films
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
JP6147693B2 (ja) * 2014-03-31 2017-06-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
WO2016190036A1 (ja) * 2015-05-22 2016-12-01 株式会社 日立ハイテクノロジーズ プラズマ処理装置およびそれを用いたプラズマ処理方法
KR102413039B1 (ko) * 2016-02-29 2022-06-23 도쿄엘렉트론가부시키가이샤 선택적 SiARC 제거
US10504746B2 (en) * 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
CN113471046B (zh) * 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
KR20080039204A (ko) * 2006-10-30 2008-05-07 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
IT1252811B (it) * 1991-10-11 1995-06-28 Proel Tecnologie Spa Generatore di ioni con camera di ionizzazione costruita o rivestita con materiale ad alto coefficiente di emissione secondaria
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
US20020074221A1 (en) * 1997-01-23 2002-06-20 Mallinson Richard G. Apparatus for stream conversion and methods of use
US6159432A (en) * 1997-01-23 2000-12-12 The Board Of Regents Of The University Of Oklahoma Conversion method for gas streams containing hydrocarbons
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
DE19757852C2 (de) * 1997-12-24 2001-06-28 Karlsruhe Forschzent Vorrichtung und Verfahren zur Dotierung von Gefäßstützen mit radiaktiven und nicht radioaktiven Atomen
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP2001023918A (ja) * 1999-07-08 2001-01-26 Nec Corp 半導体薄膜形成装置
JP2001217224A (ja) * 2000-02-01 2001-08-10 Hitachi Ltd 試料の表面処理方法および装置
US20010046566A1 (en) * 2000-03-23 2001-11-29 Chu Paul K. Apparatus and method for direct current plasma immersion ion implantation
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
JP2002289585A (ja) * 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP3890258B2 (ja) * 2001-05-28 2007-03-07 キヤノン株式会社 電子源の製造方法、および、電子源の製造装置
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
CN100490073C (zh) * 2002-11-20 2009-05-20 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
US7183716B2 (en) * 2003-02-04 2007-02-27 Veeco Instruments, Inc. Charged particle source and operation thereof
WO2004070816A1 (ja) * 2003-02-06 2004-08-19 Tokyo Electron Limited プラズマ処理方法,半導体基板及びプラズマ処理装置
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
KR100532354B1 (ko) 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
CN100547726C (zh) * 2005-06-03 2009-10-07 Csg索拉尔有限公司 用于使玻璃上的薄膜硅氢化的方法和装置
KR101119780B1 (ko) 2005-06-30 2012-03-23 엘지디스플레이 주식회사 플라즈마 화학증착장치
US7494882B2 (en) * 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090053428A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
KR101097625B1 (ko) * 2007-03-27 2011-12-22 캐논 아네르바 가부시키가이샤 진공 처리 장치
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
WO2011062162A1 (ja) 2009-11-17 2011-05-26 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
JP5660804B2 (ja) * 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
CN107731648B (zh) * 2010-10-28 2020-02-14 应用材料公司 高纯度铝涂层硬阳极化
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) * 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
KR101926571B1 (ko) * 2011-05-31 2018-12-10 어플라이드 머티어리얼스, 인코포레이티드 유도성 커플링된 플라즈마(icp) 반응기를 위한 동적인 이온 라디칼 시브 및 이온 라디칼 개구
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
WO2012173698A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
KR20080039204A (ko) * 2006-10-30 2008-05-07 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치
KR20100035142A (ko) * 2006-10-30 2010-04-02 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭 방법 및 장치
US20110162797A1 (en) * 2006-10-30 2011-07-07 Ajay Kumar Method and apparatus for photomask plasma etching

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190003283A (ko) * 2017-06-30 2019-01-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 방법
US10269940B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10665700B2 (en) 2017-06-30 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11387351B2 (en) 2017-06-30 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11784242B2 (en) 2017-06-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
KR102155146B1 (ko) 2020-09-11
CN104704613B (zh) 2017-07-21
WO2014058697A1 (en) 2014-04-17
US20140099795A1 (en) 2014-04-10
TWI613728B (zh) 2018-02-01
CN104704613A (zh) 2015-06-10
US9048190B2 (en) 2015-06-02
TW201421582A (zh) 2014-06-01
US20150332941A1 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
KR102155146B1 (ko) 이온 실드를 이용하여 기판을 처리하기 위한 방법 및 장치
JP6856651B2 (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
US9287124B2 (en) Method of etching a boron doped carbon hardmask
TWI483305B (zh) 使用電漿脈衝來控制溝槽微負載的方法
US20190221654A1 (en) Ultrahigh selective polysilicon etch with high throughput
US9640385B2 (en) Gate electrode material residual removal process
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US11264460B2 (en) Vertical transistor fabrication for memory applications
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US9064812B2 (en) Aspect ratio dependent etch (ARDE) lag reduction process by selective oxidation with inert gas sputtering
TW201445641A (zh) 基板上三維結構之層的含氨電漿氮化
KR20170058282A (ko) 고 쓰루풋을 갖는 초고 선택성 폴리실리콘 에칭
KR20240111020A (ko) 메모리 애플리케이션들을 위한 수직 트랜지스터 제작
KR20170074766A (ko) 수소 플라즈마 처리된 표면에 대한 도펀트 가스를 사용한 컨포멀한 도핑
TW202226378A (zh) 選擇性各向異性金屬蝕刻
WO2020236303A1 (en) In-situ atomic layer deposition process
US20150371889A1 (en) Methods for shallow trench isolation formation in a silicon germanium layer
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
US9627216B2 (en) Method for forming features in a silicon containing layer
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
CN118696400A (zh) 高度选择性硅蚀刻
TW202335084A (zh) 高度選擇性矽蝕刻
KR20240132375A (ko) 고도로 선택적인 규소 식각

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant