TWI613728B - 使用離子遮蔽件處理基材的方法及設備 - Google Patents

使用離子遮蔽件處理基材的方法及設備 Download PDF

Info

Publication number
TWI613728B
TWI613728B TW102136342A TW102136342A TWI613728B TW I613728 B TWI613728 B TW I613728B TW 102136342 A TW102136342 A TW 102136342A TW 102136342 A TW102136342 A TW 102136342A TW I613728 B TWI613728 B TW I613728B
Authority
TW
Taiwan
Prior art keywords
substrate
processing space
layer
ion
ion shield
Prior art date
Application number
TW102136342A
Other languages
English (en)
Other versions
TW201421582A (zh
Inventor
托比恩傑弗瑞
黃柏納L
賴肯奮
華瑞恰克拉拉
劉煒
史文博格玖漢尼斯
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201421582A publication Critical patent/TW201421582A/zh
Application granted granted Critical
Publication of TWI613728B publication Critical patent/TWI613728B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供用以處理基材的方法與設備。在一些實施例中,一種處理具有一第一層的一基材的方法可包含以下步驟:設置一基材在一基材支撐件上,該基材支撐件位在一製程腔室的一下處理空間中且在一離子遮蔽件下,該離子遮蔽件具有被施加到該離子遮蔽件的一偏壓功率,該離子遮蔽件包含一實質上平坦部件與複數個穿孔,該實質上平坦部件被支撐成平行於該基材支撐件,該複數個穿孔被形成為通過該平坦部件,其中該些穿孔的直徑對該平坦部件的厚度的比例在約10:1至約1:10的範圍中;使一製程氣體流動到該離子遮蔽件上方的一上處理空間中;在該上處理空間中從該製程氣體形成一電漿;以通過該離子遮蔽件的中性自由基來處理該第一層;及將該基材加熱到高達約550℃的溫度,同時處理該第一層。

Description

使用離子遮蔽件處理基材的方法及設備
本發明的實施例大致上關於半導體處理設備。
本案發明人已經觀察到無法使用典型的電漿離子暴露來輕易地執行3D元件結構的氮化,這是由於電漿鞘的非共形本質,其中電漿鞘會避免膜的頂表面與元件側壁的共形摻雜。反而,本案發明人相信3D共形氮化需要自由基或中性物種驅動的反應。一種氮化以氧化鉿為基底的3D高-k閘極堆疊的方法是透過使用氨以及可選的惰性氣體與/或氮氣(N2)所產生的感應式耦合電漿的使用。然而,本案發明人已經觀察到此製程也會導致許多反應性氫物種(包括氫自由基與氫離子兩者)的形成。這些反應性氫物種會潛在地穿過經氮化的膜且負面地和閘極堆疊材料發生交互作用。此外,本案發明人已經觀察到此製程也會導致許多惰性氣體與/或氮離子的形成,這也會令人不樂見地對非共形處理結果造成貢獻。本案發明人建議在反應性氫物種穿過與和閘極堆疊材料發生交互作用之前減少或去除反應性氫物種可避免元件失效,並且在惰性氣 體與/或離子和基材發生交互作用之前減少或去除惰性氣體與/或離子可提升共形處理結果。
因此,本案發明人已經提供改善之用以氮化材料(諸如3D元件結構中的材料)的方法與設備。
在此提供用以處理基材的方法與設備。在一些實施例中,這樣的處理包括氮化基材。在一些實施例中,一種處理一基材的方法,該基材具有設置在該基材上的一第一層(例如該第一層是設置在該基材上或被製造在該基材上的3D元件的部分),該方法可包含以下步驟:設置一基材在一基材支撐件上,該基材支撐件設置在一製程腔室的一下處理空間中且在一離子遮蔽件下,該離子遮蔽件具有被施加到該離子遮蔽件的一偏壓功率,其中該離子遮蔽件包含一實質上平坦部件與複數個穿孔,該實質上平坦部件被支撐成平行於該基材支撐件,該複數個穿孔被形成為通過該平坦部件,及其中該些穿孔的直徑對該平坦部件的厚度的比例在約10:1至約1:10的範圍中;使一製程氣體流動到該離子遮蔽件上方的一上處理空間中;在該上處理空間中從該製程氣體形成一電漿;以通過該離子遮蔽件的中性自由基來處理該第一層;及將該基材加熱到高達約550℃的溫度,同時處理該第一層。
在一些實施例中,一種基材處理設備可包含:一腔室主體,該腔室主體界定一處理空間,該處理空間具有一上處理空間與一下處理空間;一基材支撐件,該基材支撐件設置在該下處理空間內;一離子遮蔽件,該離子遮蔽件設置在 該處理空間中且將該處理空間分隔成該上處理空間與該下處理空間,該離子遮蔽件包含被支撐成平行於該基材支撐件的一實質上平坦部件,並且該離子遮蔽件具有被形成通過該實質上平坦部件的複數個穿孔,其中該些穿孔的直徑對該實質上平坦部件的厚度的比例在約10:1至約1:10的範圍中;一偏壓功率源,該偏壓功率源耦接到該離子遮蔽件;一遮蔽件支撐件,該遮蔽件支撐件設置在該處理空間內而設以能以相對於該基材實質上平行方位的方式將該離子遮蔽件支撐於該基材支撐件上方;一熱源,該熱源用以提供熱能到當設置在該基材支撐件上時的一基材;及一RF功率源,該RF功率源用以在該上處理空間內形成一電漿。
以下描述本發明的其他與進一步實施例。
100‧‧‧方法
102-110‧‧‧步驟
200‧‧‧腔室
202‧‧‧基材支撐件
204‧‧‧腔室主體
206‧‧‧基材
208‧‧‧處理空間
210‧‧‧離子遮蔽件
212‧‧‧室頂
214‧‧‧平坦部件
216‧‧‧電漿功率源
218‧‧‧穿孔
220‧‧‧偏壓功率源
222‧‧‧製程氣體源
224‧‧‧狹縫閥
230‧‧‧第一層
234‧‧‧上處理空間
236‧‧‧下處理空間
238‧‧‧遮蔽件支撐構件
240‧‧‧熱源
242‧‧‧突座
244‧‧‧支架
246‧‧‧升降機構
400‧‧‧半導體元件
402‧‧‧中性自由基
404‧‧‧共性地氮化的第一層
可藉由參考本發明的示範性實施例來暸解本發明的實施例,本發明的實施例簡短地在前面概述過且在下文詳細地討論,其中該些示範性實施例在附圖中示出。但是應注意的是,附圖僅示出本發明的典型實施例,因此附圖不應被視為會對本發明範疇構成限制,這是因為本發明可允許其他等效實施例。
第1圖圖示根據本發明一些實施例之處理基材的方法的流程圖。
第2A-2B圖圖示根據本發明一些實施例之基材處理腔室的示意圖。
第3圖圖示根據本發明一些實施例之離子遮蔽件的 部分透視圖。
第4A-4C圖圖示根據本發明一些實施例之在基材上製造氮化層的階段。
為促進瞭解,在可能時使用相同的元件符號來表示該等圖式共有的相同元件。圖式未依比例繪製且為了清晰起見被簡化。可設想出的是一實施例的元件與特徵可有利地被併入到其他實施例而不需特別詳述。
本發明的實施例提供改善之用以處理基材的方法與設備。本發明的實施例可藉由減少從氨源形成的感應式耦合電漿所產生的反應性物種(諸如氫自由基與氫離子以及其他離子)的影響,而有利地容許3D結構(諸如用在3D結構的高-k材料)的共形氮化。此方法與設備亦可用以氮化在其他應用(包括不具有3D結構的應用)中的其他材料。
第2A和2B圖圖示根據本發明一些實施例用以處理基材的製程腔室200的特定實施例。製程腔室200係為了說明目的而被繪示且不應該被認定會限制本發明的範疇。在圖示的實施例中,製程腔室200具有實質平坦的介電室頂212。然而,製程腔室200的其他變更可具有其他類型的室頂,例如圓頂形的室頂。
第2A和2B圖圖示的製程腔室200大致上包含位在腔室主體204內的基材支撐件202與狹縫閥224。狹縫閥224容許基材206進入到基材支撐件202且從基材支撐件202退出。基材支撐件202具有上表面以支撐基材206,以致基材 206的第一層230可被定位成用於進行處理。在一些實施例中,製程腔室200更包含熱源240以加熱基材206到期望溫度。熱源240可以是適於對基材溫度提供控制之任何類型的熱源,例如耦接到基材支撐件202的電阻式加熱器或設置在一位置以直接地或透過某個其他部件來提供熱能到基材206的表面的加熱燈(未圖示)。例如,在一些實施例中,熱源240是設置在靜電夾盤內的電阻式加熱器,該電阻式加熱器可由於因為靜電夾盤提供之夾持力所致使之提升的基材與靜電夾盤之間的熱接觸而有利地提升基材的溫度控制。
腔室主體204界定處理空間208,處理空間208被設置在處理空間208內的離子遮蔽件210分隔成上處理空間234與下處理空間236。上處理空間234設置在離子遮蔽件210上方,並且下處理空間236設置在離子遮蔽件210下方。上處理空間234與下處理空間236藉由離子遮蔽件210中的多個開口而被流體地耦接。
製程氣體源222耦接到製程腔室200,以提供製程氣體到上處理空間234。在一些實施例中,製程氣體是含氮氣體,例如適於氮化製程之單獨的或和惰性氣體(諸如氬(Ar)或諸如此類者)組合的氨(NH3)。在一些實施例中,製程氣體是適於氧化製程之含氧氣體,諸如氧(O2)。在一些實施例中,製程氣體是適於蝕刻製程之含鹵素氣體,諸如氯(Cl2)、氟(F2)、溴(Br2)、三氟化氮(NF3)、三氟甲烷(CHF3)、氯化氫(HCl)、溴化氫(HBr)或諸如此類者。
可從電漿功率源216施加RF功率,而在上處理空 間234中從製程氣體形成電漿。電漿功率源216可耦接到設置在製程腔室的室頂212中或附近的電極,該電極適於將RF功率耦接到設置在製程腔室中的製程氣體。例如,電漿功率源216與電極可設以形成電容式耦合電漿以及感應性耦合電漿,或諸如此類者。
電漿可形成反應性物種,諸如在電漿會形成氫自由基與氫離子以及氮與/或惰性氣體離子(除了電漿的其他成份以外)的氮化製程中。這些反應性氫物種會潛在地穿過經氮化的膜且負面地和基材或設置在基材上的材料發生交互作用。此外,惰性氣體或氮氣離子也會負面地影響基材上的三維結構的共形反應或處理。離子遮蔽件210有利地控制氮化或其他製程期間製程腔室200中的反應性與中性物種的空間分佈。更詳細地說,離子遮蔽件210可實質上避免反應性氫物種與其他離子抵達下處理空間236中的基材206。再者,離子遮蔽件210容許具有高表面再結合速率的物種(諸如氫自由基)能在離子遮蔽件210的表面上優先地再結合,而使得較高相對濃度的期望物種(例如在氮化製程中的含氮物種)能抵達基材206的表面。
在一些實施例中,離子遮蔽件210耦接到偏壓功率源220,該偏壓功率源220有利地容許離子遮蔽件210的選擇性偏壓以在氮化製程期間提升離子過濾(例如充電自由基與離子的減少)。偏壓功率源可以是DC功率源或RF功率源。例如,施加到離子遮蔽件210的負電壓可藉由將正離子吸引到離子遮蔽件210的表面而提升正離子的過濾。離子遮蔽件210由 導電材料(鋁、陽極化鋁、氧化鋁或石英)製成。在一些實施例中,離子遮蔽件210與腔室主體204和基材支撐件202電性隔離。在一些實施例中,離子遮蔽件210被接地,例如藉由電性地耦接到腔室主體204與/或基材支撐件202。用於離子遮蔽件210的材料的選擇可被挑選,以能對在離子遮蔽件210的表面處的再結合速率的控制造成貢獻。例如,氫自由基在鋁表面上會比在石英表面上更容易地再結合。
離子遮蔽件210藉由支撐構件被支撐在基材支撐件202上方。在一些實施例中,離子遮蔽件210被支撐的高度可改變,以為了控制製程腔室200中的製程。例如,在蝕刻製程中,可藉由將離子遮蔽件210設置成較靠近基材支撐件202及因而基材206,以獲得較快速的蝕刻速率。或者,可藉由將離子遮蔽件210設置成較遠離基材支撐件202,以獲得較慢速但較受控的蝕刻速率。在一些實施例中,離子遮蔽件210的高度可於製程腔室200中在約0.5吋(1.27cm)至約5.5吋(14.0cm)的範圍中,其中該製程腔室200具有基材206與室頂212之間的約6吋(15.24cm)的距離。在一些實施例中,離子遮蔽件210於製程腔室中被支撐在基材支撐件202上方的基材206上方約2至約4吋的距離處,其中該製程腔室具有基材至室頂約6吋的距離。可在具有其他組態的腔室中使用其他支撐高度。
使用任何適當結構,而以將離子遮蔽件210相對於基材206或基材支撐件202維持成實質上平行方位的方式,來支撐離子遮蔽件210。在一些實施例中,遮蔽件支撐構件 238是被接附到腔室壁204(或被接附到沿著腔室壁所設置的製程內腔襯裡)且支撐離子遮蔽件210於基材支撐件202上方的突座242,如第2A圖所示。在如第2B圖所示的一些實施例中,遮蔽件支撐構件238是耦接到製程腔室200的底部且環繞基材支撐件202的外周圍而設置的支架244,或具有用以升高與降低離子遮蔽件210的升降機構246(例如致動器、馬達、它們的組合、或諸如此類者)的支架244,或製程腔室200內任何其他適當的結構。
例如,在一些實施例中,升降機構246可耦接到離子遮蔽件210,以控制離子遮蔽件210相對於基材支撐件202的位置(例如延伸在狹縫閥224上方與下方的一範圍中)。升降機構246可支撐離子遮蔽件210(例如升降機構可以是支撐構件),或升降機構246可將離子遮蔽件210從停置在支撐構件上移動到設置在支撐構件(諸如第2A圖所示的突座242)上方的一位置。升降機構246可將離子遮蔽件210從基材206上方但狹縫閥224下方的第一位置升高到狹縫閥224上方的第二位置,以容許基材226可從狹縫閥224進出製程腔室200。在一些實施例中,升降機構246大致上環繞基材支撐件202的外周圍而設置。升降機構246的上端可被壓嵌到形成在離子遮蔽件210中的相應孔洞。或者,升降機構246的上端可被螺旋到離子遮蔽件210內或被螺旋到固定至離子遮蔽件210的下側的托座內。亦可使用不會和處理條件不一致的其他固定方法,以將升降機構246固定到離子遮蔽件210。
在一些實施例中,用於離子遮蔽件210的支撐構件 是由導電材料製成。在一些實施例中,支撐構件被陽極化。在一些實施例中,支撐構件不可導電,但連接到接地路徑。在一些實施例中,離子遮蔽件210可以是可輕易更換的製程套件的部分,以為了能輕易使用、維護、更換、與諸如此類者。可設想出的是離子遮蔽件210可設以能輕易地被改裝在現有的製程腔室中。
第3圖圖示離子遮蔽件210的一個特定實施例的透視圖。在一些實施例中,離子遮蔽件210包含一或更多個平坦部件214與複數個穿孔218,該一或更多個平坦部件214被支撐成平行於基材支撐件202,該複數個穿孔218通過該一或更多個平坦部件214而被形成。在一些實施例中,具有穿孔218的多個平坦部件214被堆疊在一起,以為了操縱從被形成在製程腔室200的上處理空間234中的電漿通過到設置在離子遮蔽件210與基材206之間的下處理空間236的離子量。在一些實施例中,平坦部件214可以包含平板、網篩、網目、或它們的組合。
該複數個穿孔218在平板214的表面上的尺寸、間隔和幾何配置可改變。該複數個穿孔218控制從被形成在製程腔室200的上處理空間234中的電漿通過到設置在離子遮蔽件210與基材206之間的下處理空間236的離子量。因此,穿孔218的尺寸和數量會影響下處理空間236中的離子密度。例如,離子密度可實質上被降低,以致處理主要地由電漿的中性自由基物種來提供。
穿孔218的尺寸大致上在約0.03吋(0.07cm)至約3 吋(7.62cm),或在約0.125吋至約1吋的範圍中。穿孔218可被配置成定義在平板214的表面中的約2%至約90%的開放區域。在一實施例中,該一或更多個穿孔218包括被配置成方形格柵圖案而定義約30%的開放區域的複數個約半吋(1.25cm)直徑的孔洞。可設想出的是孔洞可被配置成利用其他尺寸孔洞或具有各種尺寸的孔洞的其他幾何形態或隨機圖案。
在一些實施例中,可取決於下處理空間236中期望的離子密度而改變孔洞的尺寸、形狀與/或圖案。例如,在一些實施例中,可在一幾何形態圖案中提供類似的孔洞尺寸,該幾何形態圖案具有多個相對較高與較低數量孔洞的區域,以控制在相應於幾何形態圖案的區域中的自由基濃度,而不會改變抵達基材的物種的總組成。
在一些實施例中,可取決於下處理空間236中期望的離子密度而改變孔洞的尺寸、形狀與圖案。例如,可使用較多的小直徑孔洞來增加下處理空間236中的自由基對離子密度比例。在其他情況中,較大的孔洞可錯置在小孔洞之間,以增加下處理空間236中的離子對自由基密度比例。或者,較大的孔洞可被定位在平板214的特定區域中,以呈現下處理空間236中的離子分佈的輪廓。
與穿孔218的尺寸結合,該一或更多個實質上平坦部件214的厚度可被挑選,以控制各個穿孔218的長度。離子遮蔽件210的徑厚比(aspect ratio)(即穿孔218的直徑對該一或更多個實質上平坦部件214的厚度的比例)控制下處理空間236中的離子密度。在一些實施例中,徑厚比在約10:1至 約1:10的範圍中。在一些實施例中,徑厚比在約2:1至約1:2的範圍中。
第1圖圖示使用如上所述的製程腔室200來處理基材的示範性方法100。在一些實施例中,可在基材製程腔室(例如諸如如上涉及第2A和2B圖所述的腔室200,儘管可替代地使用其他適當的製程腔室)中執行方法100的至少一些部分。可根據在此所揭示的教示而利用之適當的製程腔室包括例如去耦合電漿氮化(Decoupled Plasma Nitridation,DPN)反應器、或環形源電漿浸沒式離子佈植反應器(諸如CONFORMATM腔室),它們各者皆可從美國加州聖大克勞拉市的應用材料公司取得。
方法100亦涉及第4A-4C圖而在此描述,第4A-4C圖圖示根據本發明一些實施例在基材上的氮化層的製造階段。氮化層的製造階段係為了說明目的而被繪示且不會限制本發明的範疇。例如,在一些實施例中,方法100可用以氧化或蝕刻基材206。
方法100開始於步驟102,其中基材206設置在製程腔室200的處理空間208中的基材支撐件202上,並且在設置於基材支撐件202上方的離子遮蔽件210下。
基材206可具有各種尺寸,諸如200mm、300mm或其他直徑的晶圓,以及矩形或方形面板。基材206可包含材料,諸如結晶矽(例如矽<100>或矽<111>)、氧化矽、伸張矽、矽鍺、摻雜或未摻雜多晶矽、摻雜或未摻雜矽晶圓、圖案化或未圖案化晶圓、絕緣體上覆矽(SOI)、碳摻雜氧化矽、 氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、或諸如此類者。
基材206包括待處理的第一層230。第一層230可藉由基材206的基底材料(例如矽)或藉由設置在基底材料上的一或更多個層來定義。例如,基材206可包括一或更多個完全或部分地被製造的半導體元件400,如第4A圖所示。半導體元件400可完全或部分地被形成在基材206上且包括待處理(例如被氮化)的第一層230。半導體元件(當完成時)可以是例如場效應電晶體(FET)、動態隨機存取記憶體(DRAM)、快閃記憶體元件、或3D元件(諸如3D邏輯元件)、或需要3D共形處理(諸如氮化、氧化或蝕刻)的其他3D元件、或諸如此類者。
第一層230可以例如被利用成作為電晶體元件的閘極介電層、快閃記憶體元件中的穿遂氧化物層、閘極結構上的間隙層、快閃記憶體元件的多晶矽間介電(inter-poly dielectric,IPD)層、或諸如此類者。第一層230可具有適於根據利用第一層230的特定應用的任一厚度。
第一層230可包含氧化物層,諸如氧化矽(SiO2)、金屬氧化物、氧化鉿(HfO2)、矽酸鉿(HfSiOx)、或可被使用在半導體元件且需要氮化的任何適當的氧化物層。例如,在一些實施例中,氧化物層可以是原生氧化物層、或可藉由任何適當的氧化製程(包括以下所討論的氧化製程)來形成。第一層230不需要受限於氧化物層,並且其他適當的層可受益自在此所揭示的本發明方法。例如,第一層230的其他適當實施例 可包括其他含矽層(諸如SiC)、或金屬氮化物層、或諸如此類者。第一層230亦可以是多個層的堆疊,諸如SiO2的第一子層與HfO2的第二子層、或SiO2的第一子層與HfSiOx的第二子層、或諸如此類者。
可在耦接到群集工具的一或更多個製程腔室中製造第一層230,其中該群集工具亦具有耦接到該群集工具的製程腔室200。適當的群集工具的一個實例是可從美國加州聖大克勞拉市的應用材料公司取得的Gate Stack CENTURA®
其次,在步驟104,從製程氣體源222流動製程氣體到離子遮蔽件210上方的上處理空間234。在一些實施例中,製程氣體是含氮製程氣體,諸如氨(NH3)。使用氨(NH3)來形成電漿係比使用純氮來形成電漿在基材206上有利地產生更厚的膜。含氮製程氣體被提供在約50sccm至約1000sccm或在約100sccm至約500sccm的流速。在一些實施例中,惰性氣體(諸如氬或氦)伴隨含氮製程氣體亦被提供到製程腔室內。氬環境中的稀釋氨係有利地提升氨的解離,並且因此增加氮化速率。氨/氬製程氣體被提供在約100sccm至約2000sccm或約200sccm至約1000sccm的總流速。氨可以是製程氣體的約1%至約99%或約2.5%至約25%。在一些實施例中,製程氣體是適於氧化製程的含氧氣體(諸如氧(O2)、臭氧(O3)或水蒸氣(H2O)),或適於蝕刻製程的含鹵素氣體(諸如氯(Cl2)、氟(F2)、溴(Br2)、三氟化氮(NF3)、三氟甲烷(CHF3)、氯化氫(HCl)、溴化氫(HBr)或諸如此類者)。
其次,在步驟106,藉由從耦接到製程腔室200的 電漿功率源(諸如電漿功率源216)施加RF功率,以在製程腔室200中從含氮製程氣體形成電漿。電漿在製程腔室200的上處理空間234中被形成。在一些實施例中,RF功率(連續波或有效的脈衝式功率)被提供在約50瓦至約3000瓦,或在一些實施例中為約200瓦至約1000瓦。RF功率可被脈衝化於約2%至約50%的工作週期(duty cycle)。製程腔室中的壓力可在約2mTorr至約200mTorr的範圍中,或在一些實施例中為約10mTorr至約60mTorr。
可選地,在步驟108,可藉由偏壓功率源220將約10伏特至約2000伏特DC功率或約10瓦至約2000瓦RF功率的偏壓功率施加到離子遮蔽件210。將偏壓功率施加到離子遮蔽件210係有利地施加電壓到離子遮蔽件210以提升離子過濾。
其次,在步驟110,如第4B圖所示,使用通過離子遮蔽件210到下處理空間236的中性自由基402來處理第一層230。通過離子遮蔽件210的中性自由基402是有利的主要物種,而幾乎沒有或沒有離子存在。本案發明人已經發現到電漿中的高離子濃度會對被吸引到基材的離子造成較垂直的路徑,這會在頂表面和側壁表面必須被處理的應用中(諸如在3D元件、溝渠、介層洞或諸如此類者中)導致不佳的共形性。因此,本案發明人已經發現到電漿中減少的離子濃度可對於在頂表面和側壁表面必須被處理的應用中(諸如在3D元件、溝渠、介層洞或諸如此類者中)改善共形性。
本案發明人更已經發現到提供熱能(例如藉由加熱 基材)會提升這樣的自由基驅動的共形處理結果。例如,在如第4C圖所示的氮化製程中,中性自由基402造成在基材206上的共形地氮化的第一層404。或者,可藉由提供含氧製程氣體,而使用通過離子遮蔽件210的中性自由基來共形地氧化基材206。在一些實施例中,可藉由提供蝕刻劑物種,而使用通過離子遮蔽件210的中性自由基來共形地蝕刻基材206。
在一些實施例中,基材206被加熱,同時使用通過離子遮蔽件210的中性自由基402來處理第一層230。例如,可將基材206從約室溫(約30℃)加熱到約550℃,例如從約350℃到約450℃。在氮化期間,製程腔室200內的壓力大致上被控制在約2mTorr至約200mTorr,或在一些實施例中為約10mTorr至約60mTorr。儘管以上說明係被討論成處理第一層230或形成共形地氮化的第一層404,在此所揭示的本發明方法可用以有利地共性地處理具有被形成在一或許多層中的三維結構的基材。
因此,在此已經揭示氮化基材上的材料的方法以及執行該方法的設備。儘管上述說明係導向本發明的實施例,可在不悖離本發明的基本範疇下設想出本發明的其他與進一步實施例。

Claims (20)

  1. 一種處理一基材的方法,該基材具有設置在該基材上的一第一層,該第一層是設置在該基材上或被製造在該基材上的一3D元件的部分,該方法包含以下步驟:設置一基材在一基材支撐件上,該基材支撐件設置在一製程腔室的一下處理空間中且在一離子遮蔽件下,該離子遮蔽件具有被施加到該離子遮蔽件的一偏壓功率,其中該離子遮蔽件包含一實質上平坦部件與複數個穿孔,該實質上平坦部件被支撐成平行於該基材支撐件,該複數個穿孔被形成為通過該實質上平坦部件,且其中該些穿孔的直徑對該實質上平坦部件的厚度的比例在約10:1至約1:10的範圍中;使一製程氣體流動到該離子遮蔽件上方的一上處理空間中;在該上處理空間中從該製程氣體形成一電漿;以通過該離子遮蔽件的中性自由基來處理該第一層;及在處理該第一層的同時將該基材加熱到高達約550℃的溫度。
  2. 如請求項1所述之方法,其中該製程氣體包含一含氮製程氣體。
  3. 如請求項2所述之方法,其中該含氮製程氣體是氨(NH3)。
  4. 如請求項3所述之方法,其中該製程氣體基本上由氨(NH3) 與惰性氣體構成。
  5. 如請求項1至4中任一項所述之方法,其中該製程氣體包括約1%至約99%的氨(NH3),其中提供約50瓦至約3000瓦的RF功率以形成該製程氣體的電漿,且其中在處理該第一層的同時,該製程腔室被維持在約2mTorr至約200mTorr的壓力下。
  6. 如請求項1至4中任一項所述之方法,其中該電漿是藉由提供約50瓦至約3000瓦的RF功率來形成。
  7. 如請求項1至4中任一項所述之方法,更包含以下步驟:在處理該第一層的同時,將該製程腔室維持在約2mTorr至約200mTorr的壓力。
  8. 如請求項1至4中任一項所述之方法,更包含以下步驟:施加約10伏特至約2000伏特DC或約10瓦至約2000瓦RF功率的偏壓功率,以將該離子遮蔽件偏壓。
  9. 如請求項1至4中任一項所述之方法,其中該些穿孔的直徑對該實質上平坦部件的厚度的比例是約2:1至約1:2。
  10. 如請求項1至4中任一項所述之方法,其中該第一層是 一高-k介電層、一金屬氮化物膜、或一金屬氧化物膜。
  11. 如請求項10所述之方法,其中該第一層是一含鉿層。
  12. 如請求項10所述之方法,其中該第一層是多個層的一堆疊,該堆疊包含設置在一二氧化矽層(SiO2)上的一氧化鉿層(HfO2)。
  13. 如請求項1至4中任一項所述之方法,其中該製程氣體包含一含氧製程氣體,且其中處理該第一層之步驟包含以下步驟:氧化該第一層。
  14. 如請求項1至4中任一項所述之方法,其中該製程氣體包含氧氣(O2)、臭氧(O3)或水蒸氣(H2O)的至少一者。
  15. 一種基材處理設備,包含:一腔室主體,該腔室主體界定一處理空間,該處理空間具有一上處理空間與一下處理空間;一基材支撐件,該基材支撐件設置在該下處理空間內;一離子遮蔽件,該離子遮蔽件設置在該處理空間中且將該處理空間分隔成該上處理空間與該下處理空間,該離子遮蔽件包含被支撐成平行於該基材支撐件的一實質上平坦部件,並且該離子遮蔽件具有被形成通過該實質上平坦部件的複數個穿孔,其中該些穿孔的直徑對該實質上平坦部件的厚 度的比例在約10:1至約1:10的範圍中;一偏壓功率源,該偏壓功率源耦接到該離子遮蔽件;一遮蔽件支撐件,該遮蔽件支撐件設置在該處理空間內而設以能以相對於該基材之一實質上平行方位的方式將該離子遮蔽件支撐於該基材支撐件上方;一熱源,當一基材設置在該基材支撐件上時,該熱源用以提供熱能到該基材;及一RF功率源,該RF功率源用以在該上處理空間內形成一電漿。
  16. 如請求項15所述之設備,其中該些穿孔的直徑對該實質上平坦部件的厚度的比例是約2:1至約1:2。
  17. 如請求項15所述之設備,其中該上處理空間實質上僅透過該離子遮蔽件流體地耦接到該下處理空間。
  18. 如請求項15至17中任一項所述之設備,其中該偏壓功率源設以供應約10伏特至約2000伏特DC或約10瓦至約2000瓦RF功率,以將該離子遮蔽件偏壓。
  19. 如請求項15至17中任一項所述之設備,更包含耦接到該腔室主體的一含氮氣體源,以提供一含氮氣體到該上處理空間。
  20. 如請求項19所述之設備,其中該含氮氣體是氨(NH3)。
TW102136342A 2012-10-09 2013-10-08 使用離子遮蔽件處理基材的方法及設備 TWI613728B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261711495P 2012-10-09 2012-10-09
US61/711,495 2012-10-09
US14/044,090 2013-10-02
US14/044,090 US9048190B2 (en) 2012-10-09 2013-10-02 Methods and apparatus for processing substrates using an ion shield

Publications (2)

Publication Number Publication Date
TW201421582A TW201421582A (zh) 2014-06-01
TWI613728B true TWI613728B (zh) 2018-02-01

Family

ID=50432994

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102136342A TWI613728B (zh) 2012-10-09 2013-10-08 使用離子遮蔽件處理基材的方法及設備

Country Status (5)

Country Link
US (2) US9048190B2 (zh)
KR (1) KR102155146B1 (zh)
CN (1) CN104704613B (zh)
TW (1) TWI613728B (zh)
WO (1) WO2014058697A1 (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9355820B2 (en) 2013-09-12 2016-05-31 Applied Materials, Inc. Methods for removing carbon containing films
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
JP6147693B2 (ja) * 2014-03-31 2017-06-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、およびプログラム
US20180047595A1 (en) * 2015-05-22 2018-02-15 Hitachi High-Technologies Corporation Plasma processing device and plasma processing method using same
WO2017151383A1 (en) * 2016-02-29 2017-09-08 Tokyo Electron Limited Selective siarc removal
US10504746B2 (en) * 2016-04-12 2019-12-10 Applied Materials, Inc. HKMG integration
JP6764771B2 (ja) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 基板処理装置及び遮熱板
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269940B2 (en) 2017-06-30 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
CN113471046B (zh) 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
CN101174107A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 用于光掩模等离子体蚀刻的方法和装置

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
IT1252811B (it) * 1991-10-11 1995-06-28 Proel Tecnologie Spa Generatore di ioni con camera di ionizzazione costruita o rivestita con materiale ad alto coefficiente di emissione secondaria
TW357404B (en) * 1993-12-24 1999-05-01 Tokyo Electron Ltd Apparatus and method for processing of plasma
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5468955A (en) * 1994-12-20 1995-11-21 International Business Machines Corporation Neutral beam apparatus for in-situ production of reactants and kinetic energy transfer
US6159432A (en) * 1997-01-23 2000-12-12 The Board Of Regents Of The University Of Oklahoma Conversion method for gas streams containing hydrocarbons
US20020074221A1 (en) * 1997-01-23 2002-06-20 Mallinson Richard G. Apparatus for stream conversion and methods of use
US5968275A (en) * 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
DE19757852C2 (de) * 1997-12-24 2001-06-28 Karlsruhe Forschzent Vorrichtung und Verfahren zur Dotierung von Gefäßstützen mit radiaktiven und nicht radioaktiven Atomen
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP2001023918A (ja) * 1999-07-08 2001-01-26 Nec Corp 半導体薄膜形成装置
JP2001217224A (ja) * 2000-02-01 2001-08-10 Hitachi Ltd 試料の表面処理方法および装置
US20010046566A1 (en) * 2000-03-23 2001-11-29 Chu Paul K. Apparatus and method for direct current plasma immersion ion implantation
DE10024883A1 (de) * 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
US6706142B2 (en) * 2000-11-30 2004-03-16 Mattson Technology, Inc. Systems and methods for enhancing plasma processing of a semiconductor substrate
JP2002289585A (ja) * 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
JP3890258B2 (ja) * 2001-05-28 2007-03-07 キヤノン株式会社 電子源の製造方法、および、電子源の製造装置
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
CN100490073C (zh) * 2002-11-20 2009-05-20 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
US7183716B2 (en) * 2003-02-04 2007-02-27 Veeco Instruments, Inc. Charged particle source and operation thereof
KR100800639B1 (ko) * 2003-02-06 2008-02-01 동경 엘렉트론 주식회사 플라즈마 처리 방법, 반도체 기판 및 플라즈마 처리 장치
JP2004281230A (ja) * 2003-03-14 2004-10-07 Ebara Corp ビーム源及びビーム処理装置
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
US20050211547A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma reactor and process using plural ion shower grids
US20050211546A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Reactive sputter deposition plasma process using an ion shower grid
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
KR100532354B1 (ko) 2004-05-31 2005-11-30 삼성전자주식회사 식각 영역 조절 장치 및 웨이퍼 에지 식각 장치 그리고웨이퍼 에지 식각 방법
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
JP2008546191A (ja) * 2005-06-03 2008-12-18 シーエスジー ソーラー アクチェンゲゼルシャフト 薄膜シリコン・オン・グラスの水素化装置およびその方法
KR101119780B1 (ko) 2005-06-30 2012-03-23 엘지디스플레이 주식회사 플라즈마 화학증착장치
US7494882B2 (en) * 2006-03-10 2009-02-24 Texas Instruments Incorporated Manufacturing a semiconductive device using a controlled atomic layer removal process
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20090053428A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090050058A1 (en) * 2006-10-12 2009-02-26 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US20090031951A1 (en) * 2006-10-12 2009-02-05 Ovshinsky Stanford R Programmed high speed deposition of amorphous, nanocrystalline, microcrystalline, or polycrystalline materials having low intrinsic defect density
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
KR101097625B1 (ko) * 2007-03-27 2011-12-22 캐논 아네르바 가부시키가이샤 진공 처리 장치
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US20110226280A1 (en) * 2008-11-21 2011-09-22 Axcelis Technologies, Inc. Plasma mediated ashing processes
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US8084339B2 (en) * 2009-06-12 2011-12-27 Novellus Systems, Inc. Remote plasma processing of interface surfaces
JP5560285B2 (ja) 2009-11-17 2014-07-23 株式会社日立ハイテクノロジーズ 試料処理装置、試料処理システム及び試料の処理方法
JP5660804B2 (ja) * 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
US20110315319A1 (en) * 2010-06-25 2011-12-29 Applied Materials, Inc. Pre-clean chamber with reduced ion current
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
CN103189963A (zh) * 2010-10-28 2013-07-03 应用材料公司 高纯度铝涂层硬阳极化
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23626A (sl) * 2011-01-19 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda za dinamično nadzorovanje gostote nevtralnih atomov v plazemski vakuumski komori in napravaza obdelavo trdih materialov s to metodo
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) * 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
CN105977126B (zh) * 2011-05-31 2018-12-07 应用材料公司 用于等离子体蚀刻腔室的孔部件
US20120318773A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
CN101174107A (zh) * 2006-10-30 2008-05-07 应用材料股份有限公司 用于光掩模等离子体蚀刻的方法和装置

Also Published As

Publication number Publication date
US20140099795A1 (en) 2014-04-10
CN104704613A (zh) 2015-06-10
KR102155146B1 (ko) 2020-09-11
US20150332941A1 (en) 2015-11-19
KR20150066581A (ko) 2015-06-16
US9048190B2 (en) 2015-06-02
WO2014058697A1 (en) 2014-04-17
TW201421582A (zh) 2014-06-01
CN104704613B (zh) 2017-07-21

Similar Documents

Publication Publication Date Title
TWI613728B (zh) 使用離子遮蔽件處理基材的方法及設備
TWI708322B (zh) 製造用於半導體應用的環繞式水平閘極裝置的奈米線的方法
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9640385B2 (en) Gate electrode material residual removal process
TW201351499A (zh) 半導體裝置之製造方法
US10957558B2 (en) Methods of etching metal-containing layers
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US11658043B2 (en) Selective anisotropic metal etch
TW201730966A (zh) 具有高產能之超高選擇性多晶矽蝕刻
JP2022523315A (ja) メモリ用途のための垂直トランジスタの作製
TWI628713B (zh) Etching method
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
TW201523728A (zh) 半導體元件之製造方法
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
TWI829231B (zh) 過渡金屬氮化材料的選擇性移除
TW202420413A (zh) 使用氮化硼遮罩之碳硬遮罩開口
JP2023552977A (ja) 半導体パターニングアプリケーションのための酸化スズおよび炭化スズ材料
JP2023535388A (ja) ホウ素がドープされたシリコン材料を利用した集積プロセス