KR20150046173A - Uv 챔버들을 세정하기 위한 방법 및 하드웨어 - Google Patents

Uv 챔버들을 세정하기 위한 방법 및 하드웨어 Download PDF

Info

Publication number
KR20150046173A
KR20150046173A KR1020157006905A KR20157006905A KR20150046173A KR 20150046173 A KR20150046173 A KR 20150046173A KR 1020157006905 A KR1020157006905 A KR 1020157006905A KR 20157006905 A KR20157006905 A KR 20157006905A KR 20150046173 A KR20150046173 A KR 20150046173A
Authority
KR
South Korea
Prior art keywords
showerhead
holes
gas
cleaning
chamber
Prior art date
Application number
KR1020157006905A
Other languages
English (en)
Other versions
KR102133373B1 (ko
Inventor
산지브 발루자
알렉산드로스 티. 데모스
켈빈 찬
주안 카를로스 로카-앨바레즈
스코트 에이. 헨드릭슨
아브히지트 칸구데
인나 투레브스키
마헨드라 차브라
토마스 노웍
다핑 야오
보 시에
대미안 라주
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150046173A publication Critical patent/KR20150046173A/ko
Application granted granted Critical
Publication of KR102133373B1 publication Critical patent/KR102133373B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/20Industrial or commercial equipment, e.g. reactors, tubes or engines

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

UV 챔버를 위한 세정 방법은 제 1 세정 가스, 제 2 세정 가스, 및 퍼지 가스를 챔버의 하나 또는 그 초과의 개구들에 제공하는 단계를 포함한다. 제 1 세정 가스는 카본 잔여물들을 제거하기 위해서, 오존과 같은 산소 함유 가스일 수 있다. 제 2 세정 가스는 실리콘 잔여물들을 제거하기 위해서, O2 및 NF3의 원격 플라즈마일 수 있다. UV 챔버는 2개의 UV 투과성 샤워헤드들을 가질 수 있고, 이 샤워헤드들은 챔버 덮개의 UV 윈도우와 함께, UV 윈도우 근처에 가스 용적을, 그리고 가스 용적 아래에 분배 용적을 정의한다. 세정 가스들 중 하나 또는 그 초과의 세정 가스들이 분배 용적 내로 유동되는 동안, 세정 가스들이 UV 투과성 윈도우에 영향을 미치는 것을 방지하기 위해서 퍼지 가스가 가스 용적을 통해 유동될 수 있다.

Description

UV 챔버들을 세정하기 위한 방법 및 하드웨어{METHOD AND HARDWARE FOR CLEANING UV CHAMBERS}
[0001] 본원에 설명된 실시예들은 일반적으로, 저 유전 상수 재료의 유전 상수를 수복하는(recovering) 방법들 및 장치에 관한 것이다. 챔버 덮개의 UV 윈도우에 영향을 미치지 않고 실리콘 및 카본 잔여물들의 세정을 허용하는, 2개의 UV 투과성(transparent) 가스 분배 샤워헤드들을 갖는 챔버가 설명된다.
[0002] 전자 디바이스들의 크기가 감소되기 때문에, 저 유전 상수(k)를 갖는 새로운 재료들, 예컨대 2.2만큼 낮은 유전 값을 갖는 재료들이, 전자 디바이스들을 형성하는 데에 사용된다.
[0003] 플라즈마-증착된 다공성 저 k 필름들은 그러한 요구 사항을 만족시킬 수 있는 일 종류의(one class) 재료들이다. 낮은 유전 값에 기여하는 기공들(pores) 및 카본의 존재는 상당한 프로세스 통합 난제들을 야기하는데, 이는 기공들이 에칭, 애싱(ashing), 및 플라즈마 손상들에 민감하기 때문이다. 따라서, 다공성 저-k 필름들을 형성 후에 그리고/또는 통합 후에 수복하기 위해, 보통은 k-수복 프로세스가 필요하다.
[0004] 전형적으로, 2개의 상이한 챔버들이 k-수복에 필요하다. 저-k 필름들의, 실릴화와 같은 화학 처리용의, 또는 저-k 필름들의 표면 처리를 위한 얇은 필름의 증착용의 하나의 챔버. UV(자외선) 경화를 사용한 기공 밀봉(sealing)을 위해 다른 챔버가 사용된다. 전형적인 k-수복은 개별 챔버들에서 수행되는데, 이는 화학 표면 처리가, 할로겐 또는 오존을 포함하는 프로세싱 가스를 공급하기 위해서 샤워헤드를 사용하는 반면에, UV 챔버는 할로겐 및 오존과 보통 양립 가능하지 않은 석영 윈도우를 사용하기 때문이다. 그러나, 2개의 챔버 k-수복 프로세스는 2개의 챔버들 및 기판 이송을 위한 부가적인 시간을 요구함으로써 소유의 비용을 증가시킨다.
[0005] 따라서, k-수복 프로세스들을 위한 개선된 장치 및 방법이 필요하다.
[0006] 본원에 개시된 실시예들은 일반적으로, 기판들을 프로세싱하기 위한 방법들 및 장치에 관한 것이다. 프로세스 챔버는 제 1 UV 투과성 샤워헤드 및 제 2 UV 투과성 샤워헤드를 특징으로 한다. UV 투과성 윈도우는 샤워헤드들 위에 포지셔닝된다. UV 투과성 윈도우와 제 1 UV 투과성 샤워헤드는 함께 가스 용적을 정의하고, 제 1 UV 투과성 샤워헤드와 제 2 UV 투과성 샤워헤드는 함께 분배 용적을 정의한다. 챔버 측벽 또는 덮개의 가스 개구들은 프로세스 가스들을 위한, 가스 용적 및/또는 분배 용적 내로의 유동 경로를 제공한다. 프로세스 가스들은 제 1 및 제 2 UV 투과성 샤워헤드들을 통해 기판 지지부 근처의 공간 내로 유동한다.
[0007] 그러한 챔버를 위한 세정 방법은 제 1 세정 가스, 제 2 세정 가스, 및 퍼지 가스를 챔버의 하나 또는 그 초과의 개구들에 제공하는 단계를 포함한다. 제 1 세정 가스는 카본 잔여물들을 제거하기 위해서, 오존과 같은 산소 함유 가스일 수 있다. 제 2 세정 가스는 실리콘 잔여물들을 제거하기 위해서, O2 및 NF3의 원격 플라즈마일 수 있다. 퍼지 가스가 가스 용적을 통해 유동될 수 있는 동안 세정 가스들 중 하나 또는 그 초과의 가스들은 분배 용적 내로 유동되어서, 세정 가스들이 UV 투과성 윈도우에 영향을 끼치는 것을 방지한다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 일 실시예에 따른 프로세스 챔버(100)의 개략적인 단면도이다.
도 2a는 제 1 UV 투과성 가스 분배 샤워헤드(116)의 평면도이다.
도 2b는 제 2 UV 투과성 가스 분배 샤워헤드(124)의 평면도이다.
도 2c는 내부에 관통 홀들(208)이 형성된 UV 투과성 가스 분배 샤워헤드(200)의 상세 단면도이다.
도 2d는 다른 실시예에 따른 제 2 UV 투과성 가스 분배 샤워헤드(250)의 평면도이다.
[0010] 도 1은 일 실시예에 따른 프로세싱 챔버(100)의 개략적인 단면도이다. 프로세싱 챔버(100)는 UV 에너지, 하나 또는 그 초과의 프로세싱 가스들, 및 원격으로 생성된 플라즈마를 사용하여 기판을 프로세싱하도록 구성된다.
[0011] 프로세싱 챔버(100)는 챔버 본체(102) 및 챔버 본체 위에 배치된 챔버 덮개(104)를 포함한다. 챔버 본체(102) 및 챔버 덮개(104)는 내측 용적(106)을 형성한다. 내측 용적(106)에는 기판 지지 조립체(108)가 배치된다. 기판 지지 조립체(108)는 프로세싱을 위해서 기판 지지 조립체 상에 기판(110)을 수용하고 지지한다.
[0012] 제 1 UV 투과성 가스 분배 샤워헤드(116)가, 내측 용적(106)에서 챔버 덮개(104)의 중앙 개구(112)를 통해서 상부 클램핑 부재(118)와 하부 클램핑 부재(120)에 의해 걸려 있다. UV 투과성 가스 분배 샤워헤드(116)는, 제 1 UV 투과성 가스 분배 샤워헤드(116) 아래에 있는 분배 용적(122)에 걸쳐 하나 또는 그 초과의 프로세싱 가스들을 분배하기 위해서, 기판 지지 조립체(108)를 대면하여 포지셔닝된다. 제 2 UV 투과성 샤워헤드(124)가 내측 용적(106)에서 챔버 덮개(104)의 중앙 개구(112)를 통해서 제 1 UV 투과성 가스 분배 샤워헤드(116) 아래에 걸려 있다. UV 투과성 가스 분배 샤워헤드들(116 및 124)의 각각은 챔버 덮개(104)에 형성된 리세스에 배치된다. 제 1 리세스(126)가 챔버 덮개(104)의 내부 표면 주위의 환형 리세스이고, 그리고 제 1 UV 투과성 가스 분배 샤워헤드(116)는 제 1 리세스(126) 내로 끼워맞춤(fit)된다. 마찬가지로, 제 2 리세스(128)가 제 2 UV 투과성 가스 분배 샤워헤드(124)를 수용한다.
[0013] UV 투과성 윈도우(114)가 제 1 UV 투과성 가스 분배 샤워헤드(116) 위에 배치된다. 윈도우 UV 투과성(114)은 제 1 UV 투과성 가스 분배 샤워헤드(116) 위에 포지셔닝되어, UV 투과성 윈도우(114)와 제 1 UV 투과성 가스 분배 샤워헤드(116) 사이에 가스 용적(130)을 형성한다. UV 투과성 윈도우(114)는 임의의 편리한 수단, 예컨대, 클램프들, 스크류들, 또는 볼트들에 의해서 챔버 덮개(104)에 고정될 수 있다.
[0014] UV 투과성 윈도우(114)와 제 1 및 제 2 UV 투과성 가스 분배 샤워헤드들(116 및 124)은 UV 파장들 내의 열 또는 복사(radiant) 에너지에 적어도 부분적으로 투과적이다. UV 투과성 윈도우(114)는 석영일 수 있거나 또는, 사파이어, CaF2, MgF2, AlON, 실리콘 옥사이드 또는 실리콘 옥시나이트라이드 재료와 같은, 다른 UV 투과성 실리콘 재료, 또는 다른 투과성 재료일 수 있다.
[0015] UV 소스(150)가 UV 투과성 윈도우(114) 위에 배치된다. UV 소스(150)는 UV 에너지를 생성하도록, 그리고 UV 에너지를 UV 투과성 윈도우(114), 제 1 UV 투과성 가스 분배 샤워헤드(116), 및 제 2 UV 투과성 가스 분배 샤워헤드(124)를 통하여 기판 지지부(108)를 향해 투사(project)하도록 구성된다. 커버(도시되지 않음)가 UV 소스(150) 위에 배치될 수 있다. 일 실시예에서, 커버는 UV 소스(150)로부터 기판 지지부를 향한 UV 에너지의 투사를 보조하도록 성형될 수 있다.
[0016] 일 실시예에서, UV 소스(150)는 UV 복사선(radiation)을 생성하기 위해서 하나 또는 그 초과의 UV 광원들(lights)(152)을 포함한다. UV 광원들은 램프들, LED 이미터들(emitters), 또는 다른 UV 이미터들일 수 있다. 적합한 UV 소스들의 더 상세한 설명들을 미국 특허 제 7,777,198 호 및 미국 특허 공보 2006/0249175 에서 찾을 수 있다.
[0017] 프로세싱 챔버(100)는 기판 지지부(108) 상에 배치된 기판을 프로세싱하기 위해서 기판 지지부(108)에 걸쳐 하나 또는 그 초과의 프로세싱 가스들을 공급하도록 구성된 유동 채널들을 포함한다. 제 1 유동 채널(132)은, 가스가 가스 용적(130)에 진입하고 그리고 UV 소스(150)로부터의 UV 복사선에 노출되기 위한 유동 경로를 제공한다. 가스 용적(130)으로부터의 가스는 제 1 UV 투과성 가스 분배 샤워헤드(116)를 통해서 분배 용적(122) 내로 유동할 수 있다. 제 2 유동 채널(134)은, 가스가 제 1 UV 투과성 가스 분배 샤워헤드(116)를 통과하지 않고 분배 용적(122)에 직접 진입하여, 앞서 가스 용적(130)에서 UV 복사선에 노출되었던 가스와 혼합되도록 하기 위한 유동 경로를 제공한다. 분배 용적(122)에서 혼합된 가스들은, 제 2 UV 투과성 가스 분배 샤워헤드(124)를 통하여 기판 지지부(108) 근처의 공간 내로 유동하기 전에, 제 1 UV 투과성 가스 분배 샤워헤드(116)를 통해 UV 복사선에 추가적으로 노출된다. 기판 지지부(108) 및 기판 지지부(108) 상에 배치된 기판 근처의 가스는 제 2 UV 투과성 가스 분배 샤워헤드(124)를 통해 UV 복사선에 추가로 노출된다. 가스들은 개구(136)를 통해 배기될 수 있다. 퍼지 가스들이 챔버의 바닥부의 개구(138)를 통해서 제공될 수 있고, 이에 의해 퍼지 가스들은 기판 지지부(108) 주위를 유동하여, 기판 지지부 아래의 공간 내로의 프로세스 가스들의 침입을 효과적으로 방지한다.
[0018] 제 1 UV 투과성 가스 분배 샤워헤드(116)는, 프로세싱 가스가 가스 용적(130)으로부터 분배 용적(122)으로 유동하게 허용하는 복수의 관통 홀들(through holes; 140)을 포함한다. 또한, 제 2 UV 투과성 가스 분배 샤워헤드(124)는, 프로세싱 가스가 분배 용적(122)으로부터 기판 지지부(108) 근처의 프로세싱 공간 내로 유동하게 허용하는 복수의 관통 홀들(142)을 포함한다. 제 1 및 제 2 가스 UV 투과성 가스 분배 샤워헤드들의 관통 홀들은 동일한 이격으로 또는 상이한 이격으로 균등하게 분배될 수 있다.
[0019] 도 2a는 제 1 UV 투과성 가스 분배 샤워헤드(116)의 평면도이다. 제 1 샤워헤드(116)는 제 1 샤워헤드(116)의 주변부(periphery)를 향해 배열된 복수의 제 1 관통 홀들(202) 및 제 1 샤워헤드(116)의 중앙 영역을 향해 배열된 복수의 제 2 관통 홀들(204)을 포함할 수 있다. 복수의 제 1 관통 홀들(202) 및 복수의 제 2 관통 홀들(204)은 동심 대열들(concentric ranks)로 배열될 수 있다. 도 2a의 실시예에서, 샤워헤드(116)의 주변부에서의 관통 홀들(202)은 샤워헤드(116)의 중앙 영역에서의 홀들(204)보다 더 큰 직경을 갖는다. 일 실시예에서, 홀들(202)은 약 0.020 인치 내지 약 0.050 인치, 예를 들어 약 0.030 인치의 직경을 갖고, 홀들(204)은 약 0.010 인치 내지 약 0.030 인치, 예를 들어 약 0.020 인치의 직경을 갖는다. 홀들(202)은 제 1 이격(220)을 갖고, 홀들(204)은 제 2 이격(210)을 갖는다. 도 2a의 실시예에서, 이격(210)은 이격(220)보다 더 크다. 도 2a의 실시예에서, 홀 크기 및 이격은 샤워헤드(116)의 주변 영역에서 샤워헤드(116)를 통한 더 빠른 유동을 촉진하여, 챔버 벽들과의 세정 가스들의 접촉을 촉진한다.
[0020] 도 2b는 제 2 UV 투과성 가스 분배 샤워헤드(124)의 평면도이다. 샤워헤드(124)는 샤워헤드(124)를 통한 균일한 유동을 촉진하기 위해서 균일한 크기와 이격을 갖는 복수의 관통 홀들(206)을 포함할 수 있다. 관통 홀들(206)은 약 0.020 인치 내지 약 0.050 인치, 예를 들어 약 0.030 인치의 직경을 가질 수 있다.
[0021] 도 2c는 내부에 관통 홀들(208)이 형성된 UV 투과성 가스 분배 샤워헤드(200)의 상세 단면도이다. 관통 홀들(208)은 관통 홀들(140, 142, 202, 및 204)로서 사용될 수 있고, 플레이트(200)는 플레이트(116 또는 124)로서 사용될 수 있다. 관통 홀들(208)은 테이퍼진(tapered) 진입 부분(222) 및 테이퍼진 출구 부분(224)을 갖는다. 플레이트(200)의 모든 노출되는 표면들 위에 코팅(226)이 배치된다. 코팅(226)은, 사파이어, CaF2, MgF2, AlON 과 같은 플루오린-내성의 코팅, 또는 다른 플루오린-내성의 재료일 수 있고, 그리고 전형적으로 컨포멀(conformal)하다. 코팅은 약 1㎛ 내지 약 10㎛의 두께를 가질 수 있고, 그리고 화학 기상 증착과 같은 기상 증착 프로세스에 의해서 증착될 수 있으며, 그러한 기상 증착 프로세스는 플라즈마 강화될(plasma enhanced) 수 있다. 테이퍼진 진입 부분(222) 및 테이퍼진 출구 부분(224)은 관통 홀(208)의 전체 표면을 코팅하는 것을 용이하게 한다. 도 2c의 실시예에서, 플레이트(200)의 양쪽 주(major) 표면 모두 코팅된다. 대안적인 실시예에서는, 플레이트(200)의 오직 하나의 주 표면만 코팅된다. 그러한 실시예에서, 관통 홀들(208)은 전부 코팅될 수 있거나, 또는 단지 부분적으로만 코팅된다. 다른 대안적인 실시예에서, 관통 홀들(208)은 오직 일 측(side)에서만, 즉, 진입 측 또는 출구 측에서만 테이퍼진다.
[0022] 도 2d는 다른 실시예에 따른 제 2 UV 투과성 가스 분배 샤워헤드(250)의 평면도이다. 샤워헤드(250)는 샤워헤드(124)와 같이 도 1의 장치(100)에 사용될 수 있다. 샤워헤드(250)는 샤워헤드(250)의 중앙 영역에 단일의 대형 개구(255)를 갖는다. 개구(255)는 샤워헤드(250)를 통하는 유동을 허용하고, 그리고 챔버(100)(도 1)에서 프로세싱될 기판에 비례하여(relative to), 개구(255)를 크기 결정하는 것이 기판의 균일한 프로세싱을 촉진한다. 300mm 원형 기판에 대해서, 개구(255)를 약 0.5 인치의 직경으로 크기 결정하는 것은 기판들의 균일한 프로세싱 및 챔버 표면들의 철저한 세정을 허용한다.
[0023] 동작 시에, 프로세싱 가스들은 가스 용적(130) 및 분배 용적(122)에 제공되고 샤워헤드들(116 및 124)을 통과하여, 기판 지지부(108) 상에 배치된 기판에 대한 재료 동작을 수행한다. 프로세스 가스들의 잔여물들은 여러 가지 챔버 표면들, 예컨대 윈도우(114), 가스 용적(130)을 대면하는 측면 상에, 샤워헤드들(116 및 124)의 어느 한 쪽의 측면, 및 챔버 벽들에 영향을 미친다(impinge). 일 양태에서 잔여물들은 카본 및 실리콘을 포함한다.
[0024] 카본 및 실리콘 잔여물들을 석영 또는 실리콘 옥사이드 컴포넌트들을 포함하는 챔버, 예컨대 UV 투과성 윈도우(114)를 갖는 챔버(100)로부터 제거하는 방법은 제 1 세정 가스를 개구부들(132 및 134)을 통해서 가스 용적(130) 및/또는 분배 용적(122)에 제공하는 단계를 포함한다. 제 1 세정 가스는 카본 잔여물들을 제거하기 위해서 산소 함유 가스, 예컨대 오존(O3)을 포함할 수 있다. 제 1 세정 가스는 또한, 챔버(100)의 하부 표면들로부터 카본 잔여물을 제거하기 위해서, 개구(138)를 통해서 기판 지지부(108) 주위에 유동되어, 배기 개구(136)를 통해서 빠져나갈 수 있다. UV 소스(150)는 산소-함유 가스의 산소로부터 산소 라디칼들의 형성을 촉진하기 위해서 세정 프로세스 동안에 활성화될 수 있고, 따라서 카본 제거를 개선한다.
[0025] 실리콘 및 카본 잔여물들을 동시에 제거하기 위해서 챔버(100)에 제 2 세정 가스가 제공될 수 있다. 제 2 세정 가스는, 석영 윈도우(114)와 같은, 챔버(100)의 실리콘 옥사이드 컴포넌트들과 반응하지 않으면서 실리콘 잔여물들을 제거하도록 선택된다. 제 2 세정 가스는 플루오린-함유 가스일 수 있고, 이는 프로세싱 챔버 외부에서 활성화될 수 있다. 일 예에서, 제 2 세정 가스는 플루오린 라디칼들 및 O2 함유 산소 라디칼들 및 NF3 의 원격 플라즈마이다. 산소 라디칼들의 형성과 플루오린 라디칼들로의 이원자 플루오린 분해를 촉진하기 위해서 제 2 세정 가스의 사용 동안에 UV 소스가 활성화될 수 있다. 제 2 세정 가스는 또한, 개구들(132, 134, 및 138)을 통해 제공되어, 개구(136)를 통해 배기될 수 있다.
[0026] 아르곤 또는 헬륨과 같은 퍼지 가스가 세정 가스 대신에 개구(132)를 통해 제공될 수 있다. 재료 동작 동안에, 전구체 가스가 개구(134)를 통해 제공되는 동안 퍼지 가스가 개구(132)를 통해 제공될 수 있다. 퍼지 가스는, 프로세스 가스들을 분배 용적(122)으로 한정함으로써, UV 윈도우(114) 상으로의 프로세스 가스들의 증착을 방지한다. 세정 동작 동안에, UV 윈도우(114)에 대해서 세정이 요구되지 않는 경우, 마찬가지로 퍼지 가스가 가스 용적(130)에서 사용될 수 있다. 다른 실시예에서, 챔버(100)의 하부 표면들에 대해 세정 동작을 수행하기 위해서 세정 가스가 개구(138)를 통해 제공되는 동안 퍼지 가스가 개구들(132 및 134)을 통해 제공될 수 있다. 그러한 실시예에서, 세정 가스들이 제 2 샤워헤드(124)와 기판 지지부(108) 사이의 공간 내로 나오는(emerging) 것을 효과적으로 방지하면서, 기판 지지부(108)의 엣지 주변에서 배기 개구(136) 내로의 퍼지 가스의 에너제틱 유동(energetic flow)을 촉진하기 위해서, 기판 지지부(108)가 챔버 덮개(104)의 제 2 리세스(128) 근처의 포지션으로 이동될 수 있다.
[0027] O2 및 NF3 의 원격 플라즈마가 세정 가스로서 사용될 때, 퍼지 가스는 아르곤 원격 플라즈마일 수 있다. 세정 동안에 퍼지 가스로서 사용될 때, 아르곤 플라즈마는, 라디칼들의 재결합을 촉진하기 위해서, 개구들(132 및/또는 134)을 통해서 진입할 때 높은 압력 강하를 겪을 수 있고, 그러는 동안 세정 가스는 라디칼 장기지속(longevity)을 촉진하기 위해서 낮은 압력 강하를 겪는다.
[0028] 표 1은, 본원에 설명된 방법들에 따른, 전형적인 세정 매트릭스의 요약을 포함한다.
Figure pct00001
[0029] 전술한 내용은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가적인 실시예들이 본 발명의 기본 범위로부터 벗어나지 않으면서 안출될 수 있고, 본 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 기판을 프로세싱하기 위한 장치로서,
    기판 지지부를 에워싸는 챔버;
    상기 기판 지지부를 조사(illuminate)하도록 포지셔닝된 UV 소스; 및
    챔버 덮개를 포함하고,
    상기 챔버 덮개는,
    상기 UV 소스와 상기 기판 지지부 사이의, UV 복사선에 대해 실질적으로 투과적인 윈도우;
    상기 윈도우와 상기 기판 지지부 사이의 제 1 샤워헤드 - 상기 제 1 샤워헤드는 복수의 관통 홀들을 포함하고, 상기 관통 홀들의 크기는 상기 샤워헤드의 중앙 부분에서보다 상기 샤워헤드의 주변부에서 더 큼 -; 및
    상기 제 1 샤워헤드와 상기 기판 지지부 사이의 제 2 샤워헤드
    를 포함하는,
    기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 챔버 덮개는 상기 윈도우와 상기 제 1 샤워헤드 사이의 제 1 가스 유동 채널 및 상기 제 1 샤워헤드와 상기 제 2 샤워헤드 사이의 제 2 가스 유동 채널을 더 포함하는,
    기판 프로세싱 장치.
  3. 제 2 항에 있어서,
    상기 챔버 덮개는 상기 제 1 샤워헤드를 수용하는 제 1 환형 리세스 및 상기 제 2 샤워헤드를 수용하는 제 2 환형 리세스를 더 포함하는,
    기판 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 제 1 및 제 2 샤워헤드들의 각각은 UV 복사선에 대해 실질적으로 투과적인,
    기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 복수의 관통 홀들은 상기 제 1 샤워헤드의 주변 영역의 복수의 제 1 관통 홀들 및 상기 제 1 샤워헤드의 중앙 영역의 복수의 제 2 관통 홀들을 포함하고, 상기 복수의 제 1 의 각각의 관통 홀은 제 1 크기를 가지며, 상기 복수의 제 2 의 각각의 관통 홀은 제 2 크기를 갖고, 상기 제 1 크기는 상기 제 2 크기보다 더 큰,
    기판 프로세싱 장치.
  6. 제 5 항에 있어서,
    상기 복수의 제 1 및 복수의 제 2 의 관통 홀들은 동심의 원형 대열들(ranks)로 배열되고, 상기 복수의 제 1 의 동심의 원형 대열들은 제 1 이격을 가지며, 상기 복수의 제 2 의 동심의 원형 대열들은 제 2 이격을 갖고, 상기 제 2 이격은 상기 제 1 이격보다 더 큰,
    기판 프로세싱 장치.
  7. 제 6 항에 있어서,
    상기 제 2 샤워헤드는 균일한 크기 및 이격을 갖는 복수의 제 3 관통 홀들을 포함하는,
    기판 프로세싱 장치.
  8. 제 7 항에 있어서,
    상기 제 1 및 제 2 샤워헤드들의 관통 홀들의 각각은 측벽을 갖고, 상기 제 1 샤워헤드 및 상기 제 2 샤워헤드 중 적어도 하나는 각각의 관통 홀의 적어도 측벽 위에 컨포멀한(conformal) 코팅을 갖는,
    기판 프로세싱 장치.
  9. 기판을 프로세싱하기 위한 장치로서,
    기판 지지부를 에워싸는 챔버;
    상기 기판 지지부를 조사하도록 포지셔닝된 UV 소스;
    상기 기판 지지부로부터 UV 소스를 분리시키는 윈도우;
    상기 윈도우와 상기 기판 지지부 사이의 제 1 샤워헤드 - 상기 제 1 샤워헤드는 상기 제 1 샤워헤드의 주변 부분의 복수의 제 1 관통 홀들 및 상기 제 1 샤워헤드의 중앙 부분의 복수의 제 2 관통 홀들을 갖고, 상기 복수의 제 1 관통 홀들의 각각의 관통 홀은 제 1 직경을 가지며, 상기 복수의 제 2 관통 홀들의 각각의 관통 홀은 제 2 직경을 갖고, 상기 제 1 직경은 상기 제 2 직경보다 더 크며, 상기 복수의 제 1 관통 홀들은 제 1 이격을 갖고, 상기 복수의 제 2 관통 홀들은 제 2 이격을 가지며, 상기 제 1 이격은 상기 제 2 이격보다 더 작음 -; 및
    상기 제 1 샤워헤드와 상기 기판 지지부 사이의 제 2 샤워헤드 - 상기 제 2 샤워헤드는 균일한 크기 및 이격을 갖는 복수의 제 3 관통 홀들을 갖고, 상기 제 1 및 제 2 샤워헤드들은 각각 실질적으로 UV 투과적임 - 를 포함하는,
    기판 프로세싱 장치.
  10. 제 9 항에 있어서,
    상기 제 1 샤워헤드 및 상기 제 2 샤워헤드 중 적어도 하나는 코팅을 갖는,
    기판 프로세싱 장치.
  11. 내부 공간을 갖는 프로세스 챔버를 세정하는 방법으로서,
    상기 내부 공간의 제 1 부분에 퍼지 가스를 제공하는 단계;
    상기 내부 공간의 제 2 부분에 제 1 세정 가스를 제공하는 단계;
    상기 프로세스 챔버의 외부에 포지셔닝된 UV 램프들로부터의 UV 복사선을 사용하여 제 1 세정 가스를 활성화하는 단계;
    상기 내부 공간의 제 2 부분에 제 2 세정 가스를 제공하는 단계;
    상기 UV 복사선을 사용하여 상기 제 2 세정 가스를 활성화하는 단계; 및
    상기 퍼지 가스, 상기 제 1 세정 가스, 및 상기 제 2 세정 가스를 상기 챔버의 측벽을 통해서 배기하는 단계를 포함하는,
    내부 공간을 갖는 프로세스 챔버 세정 방법.
  12. 제 11 항에 있어서,
    상기 제 1 세정 가스는 산소-함유 가스이고, 상기 제 2 세정 가스는 플루오린-함유 가스의 원격 플라즈마인,
    내부 공간을 갖는 프로세스 챔버 세정 방법.
  13. 제 12 항에 있어서,
    상기 제 2 세정 가스는 NF3 및 O2를 포함하는,
    내부 공간을 갖는 프로세스 챔버 세정 방법.
  14. 제 13 항에 있어서,
    상기 제 1 세정 가스는 O3를 포함하는,
    내부 공간을 갖는 프로세스 챔버 세정 방법.
  15. 제 14 항에 있어서,
    상기 제 1 세정 가스 및 상기 제 2 세정 가스와 혼합되도록, 상기 퍼지 가스는 상기 제 1 부분으로부터 상기 제 2 부분으로 유동하는,
    내부 공간을 갖는 프로세스 챔버 세정 방법.
KR1020157006905A 2012-08-23 2013-08-19 Uv 챔버들을 세정하기 위한 방법 및 하드웨어 KR102133373B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261692674P 2012-08-23 2012-08-23
US61/692,674 2012-08-23
PCT/US2013/055594 WO2014116304A2 (en) 2012-08-23 2013-08-19 Method and hardware for cleaning uv chambers

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207004990A Division KR102212369B1 (ko) 2012-08-23 2013-08-19 Uv 챔버들을 세정하기 위한 방법 및 하드웨어

Publications (2)

Publication Number Publication Date
KR20150046173A true KR20150046173A (ko) 2015-04-29
KR102133373B1 KR102133373B1 (ko) 2020-07-13

Family

ID=50146921

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207004990A KR102212369B1 (ko) 2012-08-23 2013-08-19 Uv 챔버들을 세정하기 위한 방법 및 하드웨어
KR1020157006905A KR102133373B1 (ko) 2012-08-23 2013-08-19 Uv 챔버들을 세정하기 위한 방법 및 하드웨어

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020207004990A KR102212369B1 (ko) 2012-08-23 2013-08-19 Uv 챔버들을 세정하기 위한 방법 및 하드웨어

Country Status (5)

Country Link
US (2) US9364871B2 (ko)
JP (1) JP2015529395A (ko)
KR (2) KR102212369B1 (ko)
TW (1) TW201413042A (ko)
WO (1) WO2014116304A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018645A (ko) * 2019-08-07 2021-02-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8911553B2 (en) * 2010-10-19 2014-12-16 Applied Materials, Inc. Quartz showerhead for nanocure UV chamber
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103493185A (zh) 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8872138B2 (en) * 2013-02-20 2014-10-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gas delivery for uniform film properties at UV curing chamber
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9252024B2 (en) * 2013-05-17 2016-02-02 Applied Materials, Inc. Deposition chambers with UV treatment and methods of use
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6360770B2 (ja) * 2014-06-02 2018-07-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6001015B2 (ja) * 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6714978B2 (ja) * 2014-07-10 2020-07-01 東京エレクトロン株式会社 プラズマ処理装置用の部品、プラズマ処理装置、及びプラズマ処理装置用の部品の製造方法
US20160032451A1 (en) * 2014-07-29 2016-02-04 Applied Materials, Inc. Remote plasma clean source feed between backing plate and diffuser
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US20160177442A1 (en) * 2014-12-18 2016-06-23 Ervin Beloni Gas shield for vapor deposition
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10240236B2 (en) * 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6661283B2 (ja) * 2015-05-14 2020-03-11 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理方法
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10790119B2 (en) * 2017-06-09 2020-09-29 Mattson Technology, Inc Plasma processing apparatus with post plasma gas injection
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR20200030591A (ko) * 2017-08-11 2020-03-20 어플라이드 머티어리얼스, 인코포레이티드 열화학 기상 증착(cvd) 균일성을 개선하기 위한 장치 및 방법들
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107377533B (zh) * 2017-09-12 2020-03-17 深圳市华星光电半导体显示技术有限公司 一种紫外辐照装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN108866505B (zh) * 2018-08-02 2020-12-04 武汉华星光电半导体显示技术有限公司 一种化学气相沉积设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
WO2020115980A1 (ja) * 2018-12-03 2020-06-11 株式会社アルバック 成膜装置および成膜方法
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
WO2021141718A1 (en) * 2020-01-06 2021-07-15 Lam Research Corporation Showerhead with faceplate having internal contours
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
CN113467198B (zh) * 2020-03-31 2023-04-18 长鑫存储技术有限公司 半导体设备及半导体结构的制备方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP7197036B2 (ja) * 2021-04-21 2022-12-27 Toto株式会社 半導体製造装置用部材及び半導体製造装置
US11749507B2 (en) 2021-04-21 2023-09-05 Toto Ltd. Semiconductor manufacturing apparatus member and semiconductor manufacturing apparatus
JP7108983B1 (ja) 2021-04-21 2022-07-29 Toto株式会社 半導体製造装置用部材及び半導体製造装置
US11837448B2 (en) * 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114990528B (zh) * 2022-05-16 2023-11-03 武汉理工大学 一种改善cvd设备腔内温度场的装置及方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005197467A (ja) * 2004-01-07 2005-07-21 Matsushita Electric Ind Co Ltd 基板処理装置及びそのクリーニング方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6046029A (ja) * 1983-08-24 1985-03-12 Hitachi Ltd 半導体製造装置
JPH0697075A (ja) * 1992-09-14 1994-04-08 Toshiba Corp 薄膜堆積室のプラズマクリーニング方法
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
KR100243446B1 (ko) 1997-07-19 2000-02-01 김상호 플라즈마 발생부를 가지는 샤워헤드장치
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
US8034183B2 (en) * 2005-02-02 2011-10-11 Tokyo Electron Limited Cleaning method and plasma processing method
US20060196525A1 (en) * 2005-03-03 2006-09-07 Vrtis Raymond N Method for removing a residue from a chamber
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7789965B2 (en) * 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
JP5258241B2 (ja) * 2006-09-19 2013-08-07 日本エー・エス・エム株式会社 Uv照射チャンバーをクリーニングする方法
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
KR101123829B1 (ko) * 2010-02-12 2012-03-20 국제엘렉트릭코리아 주식회사 기판 처리 장치 및 방법
KR101398043B1 (ko) * 2010-11-30 2014-06-27 어플라이드 머티어리얼스, 인코포레이티드 Uv 챔버에서 웨이퍼 처리 프로파일을 조절하기 위한 방법 및 장치

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005197467A (ja) * 2004-01-07 2005-07-21 Matsushita Electric Ind Co Ltd 基板処理装置及びそのクリーニング方法
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
WO2012054206A2 (en) * 2010-10-19 2012-04-26 Applied Materials, Inc. Quartz showerhead for nanocure uv chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018645A (ko) * 2019-08-07 2021-02-18 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Also Published As

Publication number Publication date
WO2014116304A3 (en) 2014-10-23
TW201413042A (zh) 2014-04-01
WO2014116304A2 (en) 2014-07-31
KR102212369B1 (ko) 2021-02-03
KR102133373B1 (ko) 2020-07-13
US9364871B2 (en) 2016-06-14
KR20200021000A (ko) 2020-02-26
US20160296981A1 (en) 2016-10-13
JP2015529395A (ja) 2015-10-05
US20140053866A1 (en) 2014-02-27
US9506145B2 (en) 2016-11-29

Similar Documents

Publication Publication Date Title
KR102133373B1 (ko) Uv 챔버들을 세정하기 위한 방법 및 하드웨어
US7554103B2 (en) Increased tool utilization/reduction in MWBC for UV curing chamber
KR101928348B1 (ko) 자외선 처리, 화학적 처리, 및 증착을 위한 장치 및 방법
KR100554643B1 (ko) 처리 장치 및 그것의 세정 방법
US8657961B2 (en) Method for UV based silylation chamber clean
KR20090055443A (ko) 원자층 증착 장치
TW201535567A (zh) 用於減少落下粒子缺陷之底部泵與淨化及底部臭氧清潔硬體
CN105493235A (zh) 光照射装置
US10373823B2 (en) Deployment of light energy within specific spectral bands in specific sequences for deposition, treatment and removal of materials
US11495456B2 (en) Ozone for selective hydrophilic surface treatment
WO2015083435A1 (ja) アッシング方法およびアッシング装置
KR20020089360A (ko) 챔버로부터 흡착된 분자의 제거 방법
KR101505536B1 (ko) 배플 및 이를 가지는 기판 처리 장치
KR20160089463A (ko) 디스미어 처리 장치
JP2015103545A (ja) 光源装置およびデスミア処理装置
JP6681228B2 (ja) エッチング装置及びエッチング方法
JP6459578B2 (ja) 光処理装置および光処理方法
JP6507701B2 (ja) 光処理装置および光処理方法
JP2005187928A (ja) プラズマcvd装置
JPS6358934A (ja) アツシング方法
JPS6332925A (ja) アツシング装置
JPS63202921A (ja) 半導体基板洗浄装置
JPS6370426A (ja) アツシング装置
JPH01111334A (ja) 除去方法および装置
JP2005285990A (ja) 光処理装置及び処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant