KR20140068004A - 플라즈마 에칭 방법 및 플라즈마 에칭 장치 - Google Patents

플라즈마 에칭 방법 및 플라즈마 에칭 장치 Download PDF

Info

Publication number
KR20140068004A
KR20140068004A KR1020147001328A KR20147001328A KR20140068004A KR 20140068004 A KR20140068004 A KR 20140068004A KR 1020147001328 A KR1020147001328 A KR 1020147001328A KR 20147001328 A KR20147001328 A KR 20147001328A KR 20140068004 A KR20140068004 A KR 20140068004A
Authority
KR
South Korea
Prior art keywords
supply
process gas
gas
influence
substrate
Prior art date
Application number
KR1020147001328A
Other languages
English (en)
Other versions
KR101913889B1 (ko
Inventor
마사야 가와마타
마사노부 혼다
가즈히로 구보타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140068004A publication Critical patent/KR20140068004A/ko
Application granted granted Critical
Publication of KR101913889B1 publication Critical patent/KR101913889B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마화된 처리 가스에 의해 기판을 에칭하는 플라즈마 에칭 장치에 있어서, 처리 용기와, 상기 처리 용기 내에 마련된, 기판을 유지하는 유지부와, 상기 처리 용기 내에 마련된, 상기 유지부와 대향하는 전극판과, 상기 유지부와 상기 전극판의 사이에 있는 공간에 처리 가스를 공급하기 위한, 상기 기판의 지름 방향에 대하여 각각 다른 위치에 배치된 복수의 공급부와, 상기 유지부 또는 상기 전극판의 적어도 한쪽에 고주파 전력을 공급하는 것에 의해, 상기 복수의 공급부에 의해 상기 공간에 공급된 처리 가스를 플라즈마화하는 고주파 전원과, 상기 복수의 공급부의 각각에 대응하여, 처리 가스의 공급 조건을 조절하는 조절 수단과, 상기 기판 위에 있어서의, 플라즈마화된 처리 가스에 포함되는 활성종의 농도 분포에 대하여, 공급된 처리 가스의 확산의 영향이 공급된 처리 가스의 흐름의 영향보다 큰 위치와, 상기 공급된 처리 가스의 흐름의 영향이 상기 공급된 처리 가스의 확산의 영향보다 큰 위치에서, 상기 공급 조건을 바꾸도록 상기 조절 수단을 제어하는 제어부를 갖는 플라즈마 에칭 장치.

Description

플라즈마 에칭 방법 및 플라즈마 에칭 장치{METHOD FOR PLASMA ETCHING AND PLASMA ETCHING DEVICE}
본 발명은, 플라즈마 에칭 방법 및 플라즈마 에칭 장치에 관한 것이다.
반도체 디바이스의 제조 공정에 있어서, 반도체 웨이퍼 등의 기판(이하 「웨이퍼」라고 한다.)을 가공하는 장치로서, 플라즈마를 웨이퍼에 조사하는 것에 의해, 웨이퍼에 에칭을 행하는 플라즈마 에칭 장치가 있다.
플라즈마 에칭에서는, 불소, 염소, 산소 등을 포함하는 가스를 처리 가스로서 이용하여 플라즈마화한다. 플라즈마에는, 하전 입자(이하 「이온」이라고 한다) 및 중성 입자(이하 「라디칼」이라고 한다) 등의 활성종이 포함되어 있다. 웨이퍼의 표면이 이온과 라디칼을 포함하는 플라즈마와 반응하여 반응 생성물이 발생하고, 발생한 반응 생성물이 휘발하는 것에 의해 에칭이 진행된다.
근래, 반도체 디바이스의 제조 공정에 있어서, 웨이퍼가 대구경화하고 있다. 웨이퍼의 대구경화에 따라, 에칭시의 웨이퍼의 면 내에 있어서의, 홀(및 트렌치) 저부의 선폭(Critical Dimension; CD) 및 깊이의 면 내 균일성을 확보하는 것이 어려워지고 있다.
그래서 특허 문헌 1에서는, 웨이퍼 면 내의 중심부와 주변부 영역의 라디칼의 밀도 분포의 제어를, 상부 전극으로부터의 처리 가스 공급량을 조정하는 것에 의해 제어하는 기술이 개시되어 있다.
(특허 문헌 1) 일본 특허 4358727호 명세서
그렇지만, 웨이퍼 면 내의 중심부와 주변부 영역에서는, 라디칼의 확산 상태가 불균일하고, 특허 문헌 1에서 개시되는 플라즈마 에칭 장치에서는, 면 내 균일성을 확보할 수 없다고 하는 문제점을 갖고 있었다.
상기 과제에 대하여, 웨이퍼의 면 내에 있어서의, 홀(및 트렌치) 저부의 선폭 및 깊이의 면 내 균일성이 우수한 플라즈마 에칭 방법 및 플라즈마 에칭 장치를 제공한다.
상기의 과제를 해결하기 위해, 본 발명의 일 양태에 의하면, 플라즈마화된 처리 가스에 의해 기판을 에칭하는 플라즈마 에칭 장치에 있어서, 처리 용기와, 상기 처리 용기 내에 마련된, 기판을 유지하는 유지부와, 상기 처리 용기 내에 마련된, 상기 유지부와 대향하는 전극판과, 상기 유지부와 상기 전극판의 사이에 있는 공간에 처리 가스를 공급하기 위한, 상기 기판의 지름 방향에 대하여 각각 다른 위치에 배치된 복수의 공급부와, 상기 유지부 또는 상기 전극판의 적어도 한쪽에 고주파 전력을 공급하는 것에 의해, 상기 복수의 공급부에 의해 상기 공간에 공급된 처리 가스를 플라즈마화하는 고주파 전원과, 상기 복수의 공급부의 각각에 대응하여, 처리 가스의 공급 조건을 조절하는 조절 수단과, 상기 기판 위에 있어서의, 플라즈마화된 처리 가스에 포함되는 활성종의 농도 분포에 대하여, 공급된 처리 가스의 확산의 영향이 공급된 처리 가스의 흐름의 영향보다 큰 위치와, 상기 공급된 처리 가스의 흐름의 영향이 상기 공급된 처리 가스의 확산의 영향보다 큰 위치에서, 상기 공급 조건을 바꾸도록 상기 조절 수단을 제어하는 제어부를 갖는 플라즈마 에칭 장치가 제공된다.
본 발명에 의하면, 웨이퍼의 면 내에 있어서의, 홀(및 트렌치) 저부의 선폭 및 깊이의 면 내 균일성이 우수한 플라즈마 에칭 방법 및 플라즈마 에칭 장치를 제공할 수 있다.
도 1은 본 실시형태에 따른 플라즈마 에칭 장치의 구성의 일례를 나타내는 개략도이다.
도 2는 도 1의 플라즈마 에칭 장치의 가스 공급 장치의 구성의 일례를 나타내는 개략도이다.
도 3은 도 1의 샤워 헤드의 구조의 일례를 설명하기 위한 개략도이다.
도 4는 본 실시형태에 있어서의 웨이퍼의, 지름 방향의 위치에 있어서의 페클렛 수(Peclet number)를 나타내는 개략도이다.
도 5는 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 일례이다.
도 6은 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예이다.
도 7은 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예이다.
도 8은 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예이다.
도 9는 실시예 및 비교예의 플라즈마 에칭 조건에 있어서, 깊이 및 바텀 CD를 제어 가능한 것을 설명하기 위한 개략도의 일례이다.
도 10은 실시예 및 비교예의 플라즈마 에칭 조건에 있어서, 깊이 및 바텀 CD를 제어 가능한 것을 설명하기 위한 개략도의 다른 예이다.
다음으로, 본 발명을 실시하기 위한 형태에 대하여 도면과 함께 설명한다.
(플라즈마 에칭 장치의 개략 구성)
처음으로, 도 1을 참조하여, 본 실시형태에 따른 플라즈마 에칭 장치의 일례의 개략 구성에 대하여 설명한다.
도 1에, 본 실시형태에 따른 플라즈마 에칭 장치의 구성의 일례를 나타내는 개략도를 나타낸다. 또한, 도 2에, 도 1의 플라즈마 에칭 장치의 가스 공급 장치(150)의 구성의 일례를 나타내는 개략도를 나타낸다. 또, 도 2에서 가스 공급 장치(150)에 대하여 상세하게 설명하기 때문에, 도 1에 있어서의 가스 공급 장치(150)의 주변은, 간략화하여 나타내고 있다.
본 실시형태에 따른 플라즈마 에칭 장치(100)는, 플라즈마 에칭 장치의 일례로서, 평행 평판형의 플라즈마 에칭 장치로서 구성되어 있다.
플라즈마 에칭 장치(100)는, 예컨대 표면이 양극 산화 처리(알루마이트 처리)된 알루미늄으로 이루어지는 원통 형상으로 성형된 챔버(처리 용기)(102)를 갖고 있다. 챔버(102)는 접지되어 있다.
챔버(102) 내의 저부에는, 세라믹 등의 절연판(103)을 사이에 두고 대략 원기둥 형상의 서셉터 지지대(104)가 마련되어 있다. 또한, 서셉터 지지대(104)의 위에는, 하부 전극을 구성하는 서셉터(105)가 마련되어 있다. 서셉터(105)에는, 하이 패스 필터(HPF)(105a)가 접속되어 있다.
서셉터(105)는, 그 상측 중앙부가 볼록 형상의 원판 형상으로 성형되고, 그 위에, 피처리체의 일례인 웨이퍼 W와 대략 동형의, 정전척(111)이 마련되어 있다. 정전척(111)은, 절연재의 사이에 정전 전극(112)이 개재되는 구성으로 되어 있다. 또한, 정전척(111)은 원판 형상의 세라믹스 부재로 구성되고, 정전 전극(112)에는 직류 전원(113)이 접속되어 있다.
정전 전극(112)에 양의 직류 전압이 인가되면, 웨이퍼 W에 있어서의 정전척(111) 쪽의 면(이하, 「이면」이라고 한다)에 음의 전위가 발생한다. 이것에 의해, 정전 전극(112)과 웨이퍼 W의 이면의 사이에 전위차가 발생한다. 이 전위차에 기인하는 쿨롱력 또는 존슨 라벡력에 의해, 웨이퍼 W는 정전척(111)에 흡착 유지된다. 이때, 정전척(111)에는, 정전 전극(112)에 접속된 직류 전원(113)으로부터, 예컨대, 1.5㎸의 직류 전압이 인가된다.
서셉터(105)에는, 제 1 고주파 전원(114) 및 제 2 고주파 전원(116)이, 각각, 제 1 정합기(115) 및 제 2 정합기(117)를 거쳐서 접속되어 있다. 제 1 고주파 전원(114)은, 비교적 낮은 주파수, 예컨대, 13.6㎒의 고주파 전력인 바이어스 전력을 서셉터(105)에 인가한다. 제 2 고주파 전원(116)은, 비교적 높은 주파수, 예컨대, 40㎒의 고주파 전력인 플라즈마 생성 전력을 서셉터(105)에 인가한다. 이것에 의해, 서셉터(105)는, 챔버(102)의 내부에 플라즈마 생성 전력을 인가한다.
절연판(103), 서셉터 지지대(104), 서셉터(105), 및 정전척(111)에는, 웨이퍼 W의 이면에 전열 매체(예컨대 He 가스 등의 백사이드 가스)를 공급하기 위한 가스 통로(118)가 형성되어 있다. 이 전열 매체를 사이에 두고, 서셉터(105)와 웨이퍼 W의 사이의 열전달이 이루어지고, 웨이퍼 W가 소정의 온도로 유지된다.
서셉터(105)의 상단 주연부에는, 정전척(111) 위에 지지된 웨이퍼 W를 둘러싸도록, 환상(環狀)의 포커스링(119)이 배치되어 있다. 포커스링(119)은, 세라믹스 또는 석영 등의 유전 재료, 또는, 도전체, 예컨대, 웨이퍼 W를 구성하는 재료와 동일한 단결정 실리콘 등의 도전성 재료에 의해 구성되어 있다.
플라즈마의 분포역을 포커스링(119) 위까지 확대함으로써, 웨이퍼 W의 외주측에 있어서의 플라즈마의 밀도를, 웨이퍼 W의 중심측에 있어서의 플라즈마의 밀도와 동일한 정도로 유지할 수 있다. 이것에 의해, 웨이퍼 W의 면 내에 있어서의 플라즈마 에칭의 균일성을 향상시킬 수 있다.
서셉터(105)의 위쪽에는, 서셉터(105)와 평행하게 대향하여 상부 전극(120)이 마련되어 있다. 상부 전극(120)에는, 직류 전원(123)이 접속되어 있다. 또한, 상부 전극(120)에는, 로 패스 필터(LPF)(124)가 접속되어 있다.
또한, 상부 전극(120)은, 상부 전극 구동부(200)에 의해, 예컨대 연직 방향으로 구동 가능하게 구성되어 있다. 상부 전극(120)을 연직 방향으로 구동 가능하게 구성하는 것에 의해, 상부 전극(120)과 서셉터(105)의 사이의 공간의 거리(이하, 「갭」이라고 한다.) G를 조정할 수 있다. 본 실시형태의 플라즈마 에칭 방법에서 상세하게 설명하지만, 갭 G는, 처리 가스의 확산 및 흐름에 크게 영향을 주는 파라미터이다. 그 때문에, 갭 G를 조정 가능한 구조로 하는 것에 의해, 후술하는 바와 같이, 챔버(102)의 내부의 상부 전극(120)과 서셉터(105)의 사이의 플라즈마 분포를 제어할 수 있다.
상부 전극 구동부(200)에 의해 구동되는 상부 전극(120)의 연직 방향을 따른 이동량은, 특별하게 제한은 없다. 일례로서, 상부 전극(120)의 연직 방향을 따른 이동량을 70㎜로 하고, 갭 G를 20㎜ 이상 90㎜ 이하로 조정 가능한 구조로 할 수 있다. 본 발명은, 이 점에 있어서 제한되지 않는다. 또, 플라즈마 에칭 장치(100)는, 도 1에 나타내는 구성을 90° 회전하여 횡으로 쓰러뜨린 구성으로 하더라도 좋고, 상하 반전한 구성으로 하더라도 좋다.
상부 전극(120)은, 챔버(102)의 상부 내벽에 벨로즈(122)를 사이에 두고 지지되어 있다. 벨로즈(122)는 챔버(102)의 상부 내벽에 환상의 상부 플랜지(122a)를 사이에 두고 볼트 등의 고정 수단에 의해 부착됨과 아울러, 상부 전극(120)의 상면에 환상의 상부 플랜지(122b)를 사이에 두고 볼트 등의 고정 수단에 의해 부착된다.
갭 G를 조절하기 위한, 상부 전극 구동부(200)의 구성에 대하여, 상세하게 설명한다. 상부 전극 구동부(200)는, 상부 전극(120)을 지지하는 대략 원통 형상의 지지 부재(204)를 갖는다. 지지 부재(204)는 상부 전극(120)의 상부 대략 중앙에 볼트 등으로 부착되어 있다.
지지 부재(204)는, 챔버(102)의 상벽의 대략 중앙에 형성된 구멍(102a)을 자유롭게 출입하도록 배치된다. 구체적으로는, 지지 부재(204)의 외주면은 슬라이드 기구(210)를 사이에 두고 챔버(102)의 구멍(102a)의 내부에 지지되어 있다.
슬라이드 기구(210)는, 예컨대 챔버(102)의 상부에 단면 L자 형상의 고정 부재(214)를 사이에 두고 고정 부재(214)의 연직부에 고정된 안내 부재(216)와, 이 안내 부재(216)에 미끄러짐이 자유롭게 지지되고, 지지 부재(204)의 외주면에 한 방향(본 실시형태에서는 연직 방향)으로 형성된 레일부(212)를 갖는다.
슬라이드 기구(210)의 안내 부재(216)를 고정하는 고정 부재(214)는, 그 수평부가 환상의 수평 조정판(218)을 사이에 두고 챔버(102)의 상부에 고정된다. 이 수평 조정판(218)에 의해, 상부 전극(120)의 수평 위치가 조정된다.
수평 조정판(218)은, 예컨대, 수평 조정판(218)의 둘레 방향으로 같은 간격으로 배치한 복수의 볼트 등에 의해 챔버(102)에 고정된다. 또한, 수평 조정판(218)의 수평 방향에 대한 경사량은, 이들의 볼트의 돌출량에 의해, 조정 가능한 구성이더라도 좋다. 수평 조정판(218)이 수평 방향에 대한 경사를 조정하고, 상기 슬라이드 기구(210)의 안내 부재(216)가 연직 방향에 대한 경사를 조정함으로써, 상부 전극(120)의 수평 방향의 경사를 조정할 수 있다. 즉, 상부 전극(120)을 항상 수평 위치로 유지할 수 있다.
챔버(102)의 상측에는, 상부 전극(120)을 구동하기 위한 공기압 실린더(220)가, 하우징(201)을 사이에 두고 부착되어 있다. 즉, 하우징(201)의 하단은, 챔버(102)의 구멍(102a)을 덮도록 볼트 등으로 기밀하게 부착되어 있고, 하우징(201)의 상단은, 공기압 실린더(220)의 하단에 기밀하게 부착되어 있다.
상기 공기압 실린더(220)는, 일 방향으로 구동 가능한 로드(202)를 갖고 있다. 로드(202)의 하단은, 지지 부재(204)의 상부 대략 중앙에 볼트 등으로 연결되어 있다. 로드(202)가 구동되는 것에 의해, 상부 전극(120)은 지지 부재(204)에 의해 슬라이드 기구(210)를 따라 구동된다. 로드(202)는, 예컨대 원통 형상으로 구성되고, 로드(202)의 내부 공간이 지지 부재(204)의 대략 중앙에 형성된 중앙 구멍과 연통하여 대기 개방되도록 되어 있다. 이것에 의해, 상부 전극(120)과 로우 패스 필터(LPF)(124)를 거쳐서 접지하는 배선, 및 상부 전극(120)에 직류 전원(123)으로부터 직류 전압을 인가하기 위한 급전선은, 로드(202)의 내부 공간으로부터 지지 부재(204)의 중앙 구멍을 거쳐서 상부 전극(120)에 접속하도록 배선할 수 있다.
또한, 공기압 실린더(220)의 측부에는, 예컨대 리니어 인코더(205) 등의, 상부 전극(120)의 위치를 검출하는 위치 검출 수단이 마련되어 있다. 한편, 로드(202)의 상단에는, 로드(202)로부터 측방으로 연장되는 연장부(207a)를 갖는 상단 부재(207)가 마련되어 있다. 상단 부재(207)의 연장부(207a)와 리니어 인코더(205)의 검출부(205a)가 맞닿아 있다. 상단 부재(207)는 상부 전극(120)의 움직임에 연동하기 때문에, 리니어 인코더(205)에 의해 상부 전극(120)의 위치를 검출할 수 있다.
공기압 실린더(220)는, 통 형상의 실린더 본체(222), 상부 지지판(224) 및 하부 지지판(226)을 포함한다. 통 형상의 실린더 본체(222)는, 상부 지지판(224)과 하부 지지판(226)의 사이에 있는 구성으로 되어 있다. 로드(202)의 외주면에는, 공기압 실린더(220) 내를 상부 공간(232)과 하부 공간(234)으로 구획하는 환상의 구획 부재(208)가 마련되어 있다.
공기압 실린더(220)의 상부 공간(232)에는, 상부 지지판(224)의 상부 포트(236)로부터 압축 공기가 도입되도록 되어 있다. 또한, 공기압 실린더(220)의 하부 공간(234)에는, 하부 지지판(226)의 하부 포트(238)로부터 압축 공기가 도입되도록 되어 있다. 상부 포트(236) 및 하부 포트(238)로부터 상부 공간(232) 및 하부 공간(234)에 도입하는 공기량을 제어하는 것에 의해, 로드(202)를 일 방향(예컨대 연직 방향)으로 구동 제어할 수 있다. 이 공기압 실린더(220)에 도입하는 공기량은, 공기압 실린더(220)의 근방에 마련된 공기압 회로(300)에 의해 제어된다.
또한, 상부 전극 구동부(200)는, 제어부(290)를 갖고 있고, 제어부(290)는, 장치 제어부(190)와 접속되어 있다. 장치 제어부(190)로부터의 제어 신호는 제어부(290)에 전해지고, 제어부(290)에 의해, 상부 전극 구동부(200)의 각 부가 구동 제어된다.
서셉터 지지대(104)의 내부에는, 웨이퍼 W의 면 내에 있어서의 온도 분포를 조절 가능하게 하는, 온도 분포 조정부(106)가 배치되어 있다. 온도 분포 조정부(106)는, 히터(106a, 106b), 히터용 전원(106c, 106d), 온도계(106e, 106f), 냉매 유로(107a, 107b)를 갖는다.
서셉터 지지대(104)의 내부에는, 중심측으로부터 외주측을 향해, 중심측 히터(106a)와 외주측 히터(106b)가 마련되어 있다. 중심측 히터(106a)에는, 중심측 히터용 전원(106c)이 접속되고, 외주측 히터(106b)에는, 외주측 히터용 전원(106d)이 접속되어 있다. 중심측 히터용 전원(106c), 외주측 히터용 전원(106d)은, 각각, 중심측 히터(106a), 외주측 히터(106b)에 투입하는 전력을 독립적으로 조절할 수 있다. 이것에 의해, 서셉터 지지대(104) 및 서셉터(105)에, 웨이퍼 W의 지름 방향을 따른 온도 분포를 발생시킬 수 있다. 즉, 웨이퍼 W의 지름 방향에 따른 온도 분포를 조절할 수 있다.
또한, 서셉터 지지대(104)의 내부에는, 중심측으로부터 외주측을 향해, 중심측 온도계(106e) 및 외주측 온도계(106f)가 마련되어 있다. 중심측 온도계(106e) 및 외주측 온도계(106f)는, 각각, 서셉터 지지대(104)의 중심측 및 외주측의 온도를 계측하고, 이것에 의해 웨이퍼 W의 중심측 및 외주측의 온도를 도출할 수 있다. 중심측 온도계(106e) 및 외주측 온도계(106f)에서 계측된 온도는, 후술하는 장치 제어부(190)에 보내진다. 장치 제어부(190)는, 계측된 온도로부터 도출된 웨이퍼 W의 온도가 목표 온도가 되도록, 중심측 히터용 전원(106c) 및 외주측 히터용 전원(106d)의 출력을 조정한다.
또한, 서셉터 지지대(104)의 내부에는, 중심측으로부터 외주측을 향해, 중심측 냉매 유로(107a) 및 외주측 냉매 유로(107b)를 마련하더라도 좋다. 그리고, 각각에 다른 온도의, 예컨대 냉각수, 플로로카본계의 냉매를 순환시키더라도 좋다. 냉매를 순환시키는 경우, 냉매는, 중심측 도입관(108a)을 거쳐서 중심측 냉매 유로(107a)에 도입되고, 중심측 배출관(109a)으로부터 배출된다. 한편, 외주측 냉매 유로(107b)에는, 외주측 도입관(108b)을 거쳐서 냉매가 도입되고, 외주측 배출관(109b)으로부터 배출된다.
서셉터(105)는, 히터(106a, 106b)에 의한 가열과, 냉매로부터의 냉각에 의해, 온도가 조정된다. 따라서, 웨이퍼 W는, 플라즈마로부터의 복사나 플라즈마에 포함되는 이온의 조사 등에 의한 가열과, 전술한 서셉터(105)와의 열량의 수수에 의해, 소정의 온도가 되도록 조정된다. 또한, 서셉터 지지대(104)는, 중심측 히터(106a)(및 중심측 냉매 유로(107a)) 및 외주측 히터(106b)(및 외주측 냉매 유로(107b))를 갖는다. 그 때문에, 웨이퍼 W는, 중심측과 외주측에서 독립적으로 온도를 조정할 수 있다.
또한, 도 1에는 도시하고 있지 않지만, 중심측 히터(106a)와 외주측 히터(106b)의 사이, 또는, 중심측 냉매 유로(107a)와 외주측 냉매 유로(107b)의 사이에, 단열층으로서 단열재 또는 공간을 마련하더라도 좋다. 단열층을 마련하는 것에 의해, 중심측 히터(106a)와 외주측 히터(106b)의 사이, 또는 중심측 냉매 유로(107a)와 외주측 냉매 유로(107b)의 사이가 열적으로 차단된다. 즉, 웨이퍼 W의 중심측과 외주측의 사이에, 보다 큰 온도 분포를 발생시킬 수 있다.
챔버(102)의 저부에는 배기관(131)이 접속되어 있고, 배기관(131)에는 배기 장치(135)가 접속되어 있다. 배기 장치(135)는, 터보 분자 펌프 등의 진공 펌프를 구비하고 있고, 챔버(102) 내를 소정의 감압 분위기(예컨대 0.67㎩ 이하)로 조정한다. 또한, 챔버(102)의 측벽에는 게이트 밸브(132)가 마련되어 있다. 게이트 밸브(132)가 열리는 것에 의해, 챔버(102) 내로의 웨이퍼 W의 반입, 및, 챔버(102) 내로부터의 웨이퍼 W의 반출이 가능하게 된다. 또, 웨이퍼 W의 반송에는 예컨대 반송암이 이용된다.
(처리 가스의 공급 조건을 조절하는 조절부의 개략 구성)
다음으로, 도 2 및 도 3을 참조하여, 서셉터(105)에 지지된 웨이퍼 W에 공급되는 플라즈마 가스의 공급 조건을 조정하는, 가스 공급 조건 조절부(130)의 일례에 대하여 설명한다. 또, 가스 공급 조건 조절부(130)는, 본 실시형태에 있어서의, 처리 가스를 공급하는 복수의 공급부 및, 그 복수의 공급부에 있어서의 처리 가스의 공급 조건을 조절하는 조절 수단에 대응한다.
도 2에, 도 1의 플라즈마 에칭 장치의 가스 공급 장치(150)의 일례를 나타내는 개략도를 나타낸다. 또한, 도 3에, 도 1의 샤워 헤드(140)의 구조의 일례를 설명하기 위한 개략도를 나타낸다.
가스 공급 조건 조절부(130)는, 상부 전극(120)과 일체로 구성되어 있는 샤워 헤드(140)와, 가스 공급 장치(150)를 갖는다.
샤워 헤드(140)는, 서셉터(105)에 지지된 웨이퍼 W 위에, 소정의 처리 가스(혼합 가스이더라도 좋다)를 분출하는 것이다. 샤워 헤드(140)는, 다수의 가스 분출 구멍(141a)을 갖는 원 형상의 전극판(141)(상부 전극(120))과, 전극판(141)의 상면측을 착탈이 자유롭게 지지하는 전극 지지체(142)를 구비하고 있다. 전극 지지체(142)는, 전극판(141)과 동일한 지름의 원반 형상으로 형성되고, 내부에 원 형상의 버퍼실(143)이 형성되어 있다. 전극판(141)에는, 처리 가스 등의 가스를 웨이퍼 W에 공급하기 위한, 가스 분출 구멍이 마련되어 있다(이후 가스 분출 구멍(141)이라고 부르는 일이 있다).
버퍼실(143) 내에는, 예컨대 도 3에 나타내는 바와 같이, O링으로 이루어지는 1개 이상의 환상 격벽 부재(145)가 마련되어 있다. 1개 이상의 환상 격벽 부재(145)는, 각각, 샤워 헤드의 지름 방향에 대하여 다른 위치에 배치된다. 도 3에서는, 환상 격벽 부재(145)는, 샤워 헤드의 지름 방향에 대하여 중심측으로부터, 제 1 환상 격벽 부재(145a), 제 2 환상 격벽 부재(145b), 제 3 환상 격벽 부재(145c)로 나타나 있다. 이것에 의해, 버퍼실(143)은, 중심측으로부터 제 1 버퍼실(143a), 제 2 버퍼실(143b), 제 3 버퍼실(143c), 제 4 버퍼실(143d)로 분할되어 있다.
환상 격벽 부재(145)의 수는, 1개 이상이면 특별하게 제한되지 않지만, 예컨대, 도 3에서 나타내는 바와 같이 3개로 할 수 있다. 직경 300㎜의 웨이퍼 W를 사용하여 플라즈마 에칭하는 경우, 처리 가스의 제어의 용이함과, 후술하는 플라즈마 에칭 방법에 의한 에칭의 면 내 균일성을 양립하는 관점에서, 환상 격벽 부재(145)의 수는 3개(즉, 4개로 분할된 버퍼실을 갖는다)로 하는 것이 바람직하다. 또, N개의 환상 격벽 부재(145)를 배치하는 것에 의해, N+1개로 분할된 버퍼실을 설치할 수 있다.
각각의 버퍼실(143a, 143b, 143c, 143d)에는, 가스 공급 장치(150)에 의해, 소정의 처리 가스가 공급된다.
또한, 각각의 버퍼실(143a, 143b, 143c, 143d)의 하면에는, 1개 이상의 가스 분출 구멍(141)이 연통하고 있고, 이 가스 분출 구멍(141)을 거쳐서, 웨이퍼 W 위에 소정의 처리 가스를 분출할 수 있다. 가스 분출 구멍(141)의 배치 및 배치하는 수에 대해서는, 웨이퍼 W에 대하여, 균일하게 처리 가스가 분출되는 배치가 되는 것이 바람직하다.
구체적인 예로서, 직경 300㎜의 웨이퍼 W를 사용하여, 3개의 환상 격벽 부재(145)에 의해 버퍼실을 4개의 존으로 분할한 경우에 대한, 가스 분출 구멍(141)의 배치예에 대하여 설명한다. 이때, 제 1 버퍼실(143a)에는, 샤워 헤드의 중심으로부터 11㎜의 원주 위에 4개의 가스 분출 구멍(141a)을(예컨대 같은 거리마다) 배치하고, 33㎜의 원주 위에 12개의 가스 분출 구멍(141b)을(예컨대 같은 거리마다) 배치한다. 제 2 버퍼실(143b)에는, 샤워 헤드의 중심으로부터 55㎜의 원주 위에 24개의 가스 분출 구멍(141c)을(예컨대 같은 거리마다) 배치하고, 77㎜의 원주 위에 36개의 가스 분출 구멍(141d)을(예컨대 같은 거리마다) 배치한다. 제 3 버퍼실(143c)에는, 샤워 헤드의 중심으로부터 99㎜의 원주 위에 48개의 가스 분출 구멍(도시하지 않음)을(예컨대 같은 거리마다) 배치하고, 121㎜의 원주 위에 60개의 가스 분출 구멍(도시하지 않음)을(예컨대 같은 거리마다) 배치한다. 제 4 버퍼실(143d)에는, 샤워 헤드의 중심으로부터 143㎜의 원주 위에 80개의 가스 분출 구멍(도시하지 않음)을(예컨대 같은 거리마다) 배치하고, 165㎜의 원주 위에 100개의 가스 분출 구멍(도시하지 않음)을(예컨대 같은 거리마다) 배치한다.
다음으로, 도 2를 참조하는 것에 의해, 가스 공급 장치(150) 및, 각각의 버퍼실에 개별적으로, 소정의 처리 가스를 공급하기 위한, 밸브 구성 및 유량 조절 수단에 대하여 설명한다. 여기서도, 3개의 환상 격벽 부재(145)에 의해 버퍼실을 4개의 존으로 분할한 경우에 대하여 설명하지만, 본 발명은 이것으로 한정되지 않는다.
가스 공급 장치(150)는, 제 1 가스 박스(161)와, 제 2 가스 박스(160)를 구비하고 있다. 제 1 가스 박스(161)에는, 복수의 가스 공급원 및 제 1 밸브(303)가 수용되어 있고, 제 2 가스 박스에는, 가스 공급원측으로부터, 제 2 밸브(302), 예컨대 매스플로 컨트롤러 등의 유량 제어기(301) 및 제 3 밸브(300)가 수용되어 있다.
본 실시형태에 있어서, 가스 공급원에는, 예컨대, 플로로카본계의 불소 화합물(CF계), 예컨대 CF4, C4F6, C4F8, CH2F2, CHF3 등의 처리 가스가 봉입되어 있다. 그 밖에도, 예컨대 CF계의 반응 생성물의 부착을 제어하는 가스로서 예컨대 산소(O2) 가스가 봉입되어 있다. 또한, 캐리어 가스로서 예컨대 Ar 가스, N2 가스, He 가스가 봉입되어 있다.
제 1 가스 박스(161) 내에 있어서, 각각의 가스 공급원은 배관과 접속되어 있다. 배관은, 각 가스 공급원으로부터의 가스를 각 버퍼실에 공급하기 위해, 분기된 구조로 되어 있다. 즉, 도 2의 예에 있어서는, 각 가스 공급원에 접속되는 배관은, 배관(170~173)과 같이 4개로 분기되어 있다. 또한, 배관은 제 1 밸브(303)가 접속되어 있고, 소망하는 프로세스에 따라, 가스종을 전환하는 것이 가능한 구조로 되어 있다. 이와 같은 구조로 하는 것에 의해, 새로운 가스 공급원을 추가하는 경우나, 프로세스에 의해 불필요한 처리 가스의 가스 공급을 정지하는 경우도, 간이한 조작으로 실행할 수 있다.
또한, 배관(170~173)은, 제 2 가스 박스(160)를 거쳐서, 각각, 제 1 버퍼실~제 4 버퍼실에 접속되고, 각 버퍼실에 소정의 가스가 공급된다.
제 2 가스 박스(160) 내에는, 가스 공급원측으로부터, 제 2 밸브(302), 유량 제어기(301)(또는 매스플로 컨트롤러 등을 이용하더라도 좋다) 및 제 3 밸브(300)가 수용되어 있다. 이때, 제 2 밸브(302), 제 3 밸브(303) 및 유량 제어기(301)는, 각 버퍼실에 공급되는, 각 가스종의 배관 전부에 마련되어 있다. 이와 같은 구성으로 하는 것에 의해, 각 가스 공급원으로부터 공급된 특정한 버퍼실로의 처리 가스의 유량을 증감시킬 뿐 아니라, 특정한 밸브를 닫는 것에 의해, 특정한 버퍼실(즉, 특정한 존)에만, 특정한 처리 가스의 공급을 정지할 수도 있다.
배관(170~173)은, 제 3 밸브의 하류측에서 각각의 처리 가스마다 합류하고, 각각, 제 1 버퍼실~제 4 버퍼실에, 혼합된 처리 가스가 도입된다. 또, 도시하고 있지 않지만, 각각의 처리 가스가 합류한 후의 배관에 추가의 밸브를 배치하고, 이 밸브의 개폐에 의해, 각각의 버퍼실로의 처리 가스의 공급의 유무를 제어할 수 있는 구조이더라도 좋다.
또한, 제 2 가스 박스(160)에는, 압력 조정부(도시하지 않음)로서 압력계 및 추가의 밸브가 마련되어 있더라도 좋고, 압력 조정부의 압력계에 의한 계측 결과에 근거하여, 처리 가스의 유량비를 제어할 수 있는 구조이더라도 좋다.
제 2 가스 박스(160)에 있어서의 유량 제어기(301)의 동작은, 예컨대 플라즈마 에칭 장치(100)의 후술하는 장치 제어부(190)에 의해 제어되고 있다. 따라서, 장치 제어부(190)에 의해, 제 1 가스 박스(161) 및 제 2 가스 박스(160)로부터의 각종 가스의 공급의 개시와 정지, 각종 가스의 공급량을 제어할 수 있다.
전술한 바와 같이, 플라즈마 에칭 장치(100)는, 장치 제어부(190)를 갖는다. 장치 제어부(190)는, 예컨대 CPU로 이루어지는 도시하지 않는 연산 처리 장치와, 예컨대 하드디스크로 이루어지는 도시하지 않는 기록 매체를 구비하고 있다. 장치 제어부(190)는, 전술한, 제 1 고주파 전원(114), 제 2 고주파 전원(116), 온도 분포 조정부(106), 상부 전극 구동부(200), 가스 공급 조건 조절부(130)의 각 부의 동작을 제어한다. 그리고, 장치 제어부(190)는, 상기 각 부를 동작시킬 때는, 예컨대 장치 제어부(190)의 CPU가, 예컨대 장치 제어부(190)의 하드디스크에 기록되어 있는, 각각의 에칭 처리에 대응하는 프로그램에 따라, 각 부를 제어한다.
또, 장치 제어부(190)는, 본 실시형태에 있어서의 제어부에 상당한다.
(플라즈마 에칭 방법)
다음으로 플라즈마 에칭 장치(100)를 이용한, 플라즈마 에칭 방법의 예에 대하여 설명한다.
가스 분출 구멍으로부터, 상부 전극(120)과 서셉터(105)의 사이의 공간에, 가스가 공급되면, 가스는 배기 방향(배기 장치(135)가 접속되어 있는 방향)으로 확산되면서 흘러간다. 「확산」과 「흐름」에 의해 수송되는 가스 성분(예컨대, 라디칼)의 농도 분포는, 가스 분출 구멍의 위치 등에 따라, 「확산」과 「흐름」의 어느 쪽의 인자에 의존하고 있는지가 다르다. 「확산」과 「흐름」의 어느 쪽의 인자에, 어느 정도 의존하고 있는지를 정성적으로 나타내는, 무차원수로서 페클렛 수(Pe)가 알려져 있다. 페클렛 수는, 가스의 유속 u(m/s), 가스종의 상호 확산 계수 DAB(㎡/s), 대표 길이 L(m)을 이용하여, 하기 식(1)로 나타내어진다.
Pe=uL/DAB 식(1)
페클렛 수는, 1을 경계로 하여, Pe가 1보다 작은 경우, 가스의 수송은 「확산」의 영향이 「흐름」의 영향보다 크게 되고, Pe가 1보다 큰(또는 1인) 경우, 가스의 수송은 「흐름」의 영향이 「확산」의 영향보다 크게 된다.
구체적인 예에 의해, 상세하게 설명하기 위해, 도 4의 위쪽 도면에, 본 실시형태에 있어서의 웨이퍼의, 지름 방향의 위치에 있어서의 페클렛 수를 나타낸다. 도 4의 위쪽 도면은, 가스종으로서 Ar과 C4F8의 혼합 가스(상호 확산 계수 DAB는 1.23×10-1㎡/s가 된다)를 사용한 경우에, 대표 길이 L(즉, 서셉터(105)와 상부 전극(120)의 사이의 갭 G)을 0.03m로 하고, 가스의 유속 u를 계산에 의해 산출하여, 페클렛 수를 구했다. 또한, 도 4의 가로축은, 직경 300㎜의 웨이퍼의 중심을 0㎜로 하고, 지름 방향에 대한 페클렛 수를 나타내고 있다.
도 4의 위쪽 도면에서 웨이퍼의 중심으로부터 지름이 86㎜의 위치를 경계로, 「확산」의 영향이 「흐름」의 영향보다 큰 영역과 「흐름」의 영향이 「확산」의 영향보다 큰 영역으로 구분되는 것을 알 수 있다.
또한, 도 4의 아래쪽 도면에, 직경 300㎜의 웨이퍼를 사용한 경우의, 웨이퍼의 위치에 대한 에치 레이트비를 나타낸다. 구체적으로는, 직경 300㎜의 웨이퍼 W를 사용하여, 3개의 환상 격벽 부재에 의해 버퍼실을 4개의 존(Center, Middle, Edge, Very Edge)으로 분할하여, 각각의 존으로부터 가스를 분출하여 플라즈마 에칭하고, 웨이퍼 위치에 대한 에치 레이트비를 구했다. 또, Center의 존에 대응하는 가스 분출 구멍은, 샤워 헤드의 중심으로부터 11㎜의 원주 위에 4개의 가스 분출 구멍을, 33㎜의 원주 위에 12개의 가스 분출 구멍을 배치했다. Middle의 존에는, 샤워 헤드의 중심으로부터 55㎜의 원주 위에 24개의 가스 분출 구멍을, 77㎜의 원주 위에 36개의 가스 분출 구멍을 배치했다. Edge의 존에는, 샤워 헤드의 중심으로부터 99㎜의 원주 위에 48개의 가스 분출 구멍을, 121㎜의 원주 위에 60개의 가스 분출 구멍을 배치했다. Very Edge의 존에는, 샤워 헤드의 중심으로부터 143㎜의 원주 위에 80개의 가스 분출 구멍을, 165㎜의 원주 위에 100개의 가스 분출 구멍을 배치했다. 이후, Center, Middle, Edge, Very Edge로부터의 가스의 공급에 관한 기재는, 상술한 가스 분출 구멍의 배치를 가리킨다.
또한, 도 4의 아래쪽 도면의 세로축은, 가장 에치 레이트가 큰 위치를 1로 하여, 규격화하여 나타내고 있다.
도 4의 아래쪽 도면으로부터, Center 및 Middle의 존으로부터 가스를 공급한 경우, 대략, 가스가 공급된 위치에 대응하는 위치에 있어서, 에치 레이트가 커지고 있는 것을 알 수 있다. 이것은, Center 및 Middle의 존에서는, 가스의 수송은 「확산」의 영향이 「흐름」의 영향보다 크기 때문이다(도 4의 위쪽 도면 참조). 또한, Center 및 Middle의 존으로부터 공급된 가스는, Edge 및 Very Edge의 존의 에치 레이트에도 영향을 미친다고 추찰된다.
한편, Edge(및 Very Edge)의 존으로부터 가스를 공급한 경우, 에치 레이트 영향 범위가, 외주측으로 시프트하고 있는 것을 알 수 있다. 이것은, Edge(및 Very Edge)의 존에서는, 가스의 수송은 「흐름」의 영향이 「확산」의 영향보다 크기 때문에(도 4의 위쪽 도면), Edge 존으로부터 도입된 가스가, 외주측으로 흘러갔기 때문이라고 추찰된다. 또한, Edge 및 Very Edge의 존으로부터 공급된 가스는, Center 및 Middle의 존의 에치 레이트에는, 거의 영향을 미치지 않는다.
다시 말해, 공급된 처리 가스의 확산의 영향이 흐름의 영향보다 큰 위치와, 공급된 처리 가스의 유속의 영향이 확산의 영향보다 큰 위치에서는, 가스의 공급 조건을 바꾸어 제어하는 것이 중요하게 된다. 구체적으로는, 공급된 처리 가스의 확산의 영향이 흐름의 영향보다 큰 위치라면, 그 위치에 대응하는(대략 바로 위에 있는) 가스 분출 구멍의 가스 공급 조건을 조정하고, 공급된 처리 가스의 흐름이 확산의 영향보다 큰 위치라면, 그 위치보다 웨이퍼의 중심 방향에 있는 가스 분출 구멍의 가스 공급 조건을 조정함으로써, 플라즈마 에칭시의 면 내 균일성을 향상시킬 수 있다. 보다 구체적으로는, u, L, DAB 등에 의해, Edge(Very Edge) 존으로부터 공급된 처리 가스의 확산의 영향이 흐름의 영향보다 큰 경우에는, Edge(Very Edge) 존으로부터의 처리 가스의 조건을 조정하고, 흐름의 영향이 확산의 영향보다 큰 경우에는, 웨이퍼 중심 방향측의 Center(Middle)의 존으로부터의 처리 가스의 조건을 조정한다.
다음으로, 가스의 공급 조건이 가스의 수송에 주는 영향에 대하여 설명한다. 즉, 공급 가스의 어떠한 파라미터가, 웨이퍼 면 내 형상에 있어서의, 면 내 균일성의 향상에 영향을 주는지에 대하여 설명한다.
공급 가스의 확산은, 확산 분자(가스 분자)의 평균 자유 행정 l(m)과 가스의 유속 u(m/s)에 의존한다. 이때, 확산 분자의 평균 자유 행정 l은, 가스가 이상 기체이고, 확산 분자의 속도가 맥스웰 분포에 따른다고 가정되는 경우, 하기의 식(2)로 나타내어진다.
l=(T×C1)/(d2×P) 식(2)
식(2) 중, C1은 상수이고, d는 확산 분자의 충돌 분자 지름(m)이고, P는 계 내의 압력(atm)이고, T는 계 내의 온도(K)이다.
한편, 공급 가스의 유속 u도, 가스가 이상 기체라고 가정한 경우, 하기의 식(3)으로 나타내어진다.
u=(Q×C2)/PV 식(3)
식(3) 중, C2는 상수이고, Q는 1기압에서의 유량(㎥/s)이고, P는 계 내의 압력이고, V는 계 내의 체적(㎥)이다.
이때, 공급 가스의 확산 영역 darea는, 평균 자유 행정 l/유속 u에 비례하기 때문에, 식(2) 및 식(3)에서, 식(4)가 도출된다.
darea∝l/u=(T×V×C3)/(d2Q) 식(4)
식(4) 중, C3은 상수이다.
즉, 공급 가스의 확산 영역은, 계 내의 체적, 공급 가스의 유량, 계 내의 온도 및 충돌 분자 지름에 의존하는 것을 알 수 있다. 또, 계 내의 체적이란, 본 실시형태에서는, 상부 전극(120)과 서셉터(105)의 사이의 공간의 체적으로 근사되지만, 플라즈마 에칭 중에는 피처리체의 지름은 변화하지 않기 때문에, 상부 전극(120)과 서셉터(105)의 사이의 공간의 거리(갭 G)를 가리킨다. 또한, 공급 가스의 유량은, 계 내의 압력과도 상관이 있다. 또한, 충돌 분자 지름은, 공급 가스의 종류(즉, 공급 가스의 분자량)에 따라 다르기 때문에, 공급 가스의 확산 영역은, 공급 가스의 분자량에도 의존한다.
공급 가스의 확산 영역은, 공급 가스의 유량(및 공급 가스의 압력), 공급 가스의 분자량, 및 갭 G 등의 파라미터(공급 조건)에 의존하는 것을 확인한 실험에 대하여, 도 5~도 8을 참조하여 설명한다.
도 5에, 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 일례를 나타낸다. 또한, 도 6에, 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예를 나타낸다. 또한, 도 7에, 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예를 나타낸다. 또한, 도 8에, 본 실시형태에 있어서의 처리 가스의 공급 조건을 변경한 경우의, 에치 레이트의 변화를 나타내는 개략도의 다른 예를 나타낸다. 또, 변경하는 공급 조건은, 도 5가 공급 가스의 유량이고, 도 6이 공급 가스의 압력이고, 도 7이 공급 가스의 분자량이고, 도 8이 갭 G이다. 전술한 바와 마찬가지로, 3개의 환상 격벽 부재에 의해 버퍼실을 4개의 존(Center, Middle, Edge, Very Edge)으로 분할하여, 각 가스 분출 구멍으로부터 공급되는 가스 분압(후술하는 에칭 조건 참조)이 일정하게 되도록 했다. 또한, Very Edge의 존의 최외주(最外周)(샤워 헤드의 중심으로부터 지름 방향으로, 165㎜의 원주 위)의 가스 분출 구멍으로부터는, 하기의 에칭 조건에서 나타내는 양의 추가 가스를 공급하고, 각 웨이퍼 위치에 있어서의 에치 레이트를 플롯했다. 또, 도 5의 세로축은, 실리콘 웨이퍼 위에 하드마스크로서 실리콘 산화물이 퇴적된 피처리체의, BEOL(Back End of Line) 트렌치 패턴에 있어서의, 실리콘 산화물의 에치 레이트를 나타내고 있다.
도 6의 세로축은, 가장 에치 레이트가 큰 위치(최외주)를 1로 하여, 규격화하여 나타내고 있다.
상세한 에칭 조건을 하기에 나타낸다.
에칭 장치 내 압력 : 80mTorr(압력 변경시 : 30~150mTorr)
갭 G : 30㎜(갭 변경시 : 22㎜~50㎜)
고주파 전원 파워(40㎒/13㎒) : 700/1000W
상부 전극의 전위 : 0V
처리 가스의 유량(전압(全壓) 환산) : C4F8/Ar/N2/O2=30/1200/70/17sccm(단, 최외주 영역에는, C4F8(분자량 변경시에는, O2 또는 CH2F2)=20sccm을 첨가하고, 유량 변경시는, 상기 유량×0.33~×1.5의 범위에서 행했다.)
처리 시간 : 60초
도 5~도 8의 에치 레이트의 플롯에서, 각각의 파라미터가, 공급 가스의 확산에 대하여 어떠한 영향을 미치는지를 알 수 있다. 즉, 공급 가스의 유량을 낮게 하는 것, 공급 가스의 분자량을 작게 하는 것, 계 내 압력을 크게 하는 것, 갭 G를 넓게 하는 것에 의해, 공급 가스의 확산이 넓어지는 것을 알 수 있다. 즉, 이들의 파라미터를 제어하는 것에 의해, 가스(즉, 라디칼)의 농도 분포를 제어할 수 있기 때문에, 플라즈마 에칭시에 있어서의 웨이퍼의 면 내 형상에 대하여, 면 내 균일성을 향상시킬 수 있는 것을 알 수 있었다.
(본 실시형태의 플라즈마 에칭 장치 및 방법의 효과를 확인한 실험)
다음으로, 본 실시형태의 플라즈마 에칭 장치 및 플라즈마 에칭 방법의 효과를 확인한 실험에 대하여, 도 9 및 도 10을 참조하여 설명한다.
도 9에, 실시예 및 비교예의 플라즈마 에칭 조건에 있어서, 라인부의 깊이 및 저부의 선폭(바텀 CD)을 균일하게 제어하는 것이 가능한 것을 설명하기 위한, 개략도의 일례를 나타낸다. 또한, 도 10에, 실시예 및 비교예의 플라즈마 에칭 조건에 있어서, 라인부의 깊이 및 저부의 선폭(바텀 CD)을 균일하게 제어하는 것이 가능한 것을 설명하기 위한, 개략도의 다른 예를 나타낸다. 전술한 바와 마찬가지로, 3개의 환상 격벽 부재에 의해 버퍼실을 4개의 존(Center, Middle, Edge, Very Edge)으로 분할했다. 이때, 비교예에 있어서는, 각 가스 분출 구멍으로부터 공급되는 가스 분압(후술하는 에칭 조건 참조)이 일정하게 되도록 했다. 실시예에 있어서는, Edge 존의 처리 가스(C4F8)의 가스 공급 분압을 증가시키고, Very Edge 존의 처리 가스(C4F8)의 가스 공급 분압을 0으로 했다. 또, 도 9 및 도 10의 세로축은, 실리콘 웨이퍼 위에 하드마스크로서 실리콘 산화물을 퇴적하고, 에칭한 후의 BEOL(Back End of Line) 트렌치 패턴에 있어서의, 트렌치의 깊이와 바텀 CD를 나타내고 있다.
상세한 에칭 조건을 하기에 나타낸다.
《공통》
에칭 장치 내 압력 : 80mTorr
갭 G : 30㎜
고주파 전원 파워(40㎒/13㎒) : 400/200W
상부 전극의 전위 : 700V
처리 시간 : 95초
《실시예의 처리 가스의 유량》(각각의 존 내에서의, 각 가스 분출 구멍으로부터의 분압은 일정하다)
Center 존의 분압 합계 : C4F8/Ar/N2/O2=1.3/53/3.1/1.0sccm
Middle 존의 분압 합계 : C4F8/Ar/N2/O2=4.9/198/12/3.8sccm
Edge 존의 분압 합계 : C4F8/Ar/N2/O2=13.4/356/21/6.8sccm
Very Edge 존의 분압 합계 : C4F8/Ar/N2/O2=0/593/35/11sccm
《비교예의 처리 가스의 유량》(모든 존 내에서의, 각 가스 분출 구멍으로부터의 분압은 일정하다)
Center 존의 분압 합계 : C4F8/Ar/N2/O2=1.3/53/3.1/1.0sccm
Middle 존의 분압 합계 : C4F8/Ar/N2/O2=4.9/198/12/3.8sccm
Edge 존의 분압 합계 : C4F8/Ar/N2/O2=8.9/356/21/6.8sccm
Very Edge 존의 분압 합계 : C4F8/Ar/N2/O2=14.8/593/35/11sccm
도 9에서, 비교예와 같이, 모든 가스 분출 구멍에 있어서, 처리 가스의 공급 분압을 일정하게 한 경우, 웨이퍼 면 내의, 트렌치의 깊이의 차가 20㎚였다. 특히, Very Edge 존에 있어서, 면 내 깊이가 작아졌다. 한편, 실시예와 같이, Edge 존에서의 처리 가스의 공급 분압을 올리고, Very Edge 존에서의 처리 가스의 공급 분압을 내린 경우에 있어서는, 면 내 깊이의 차는 10㎚였다. 특히, Very Edge 존에서의 면 내 깊이는, Center 및 Middle 존과 동일한 정도이고, 면 내 깊이의 면 내 균일성을 향상시킬 수 있었다. 또한, 도 10에서, 비교예와 같이, 모든 가스 분출 구멍에 있어서, 처리 가스의 공급 분압을 일정하게 한 경우, 면 내 바텀 CD의 차가 15㎚였다. 그렇지만, 실시예와 같이, Edge 존에서의 처리 가스의 공급 분압을 올리고, Very Edge 존에서의 처리 가스의 공급 분압을 내린 경우에 있어서는, 면 내 바텀 CD의 차는 3㎚였다. 즉, 면 내 바텀 CD의 면 내 균일성을 향상시킬 수 있었다.
도 4에 관하여 설명한 바와 같이, Very Edge의 존으로부터 가스를 공급한 경우, 에치 레이트 영향 범위가, 외주측으로 시프트한다. 즉, 이들의 존에서는, 가스의 수송은 「흐름」의 영향이 「확산」의 영향보다 크고, Very Edge 존으로부터 도입된 가스가, 외주측으로 더 흘러간다. Very Edge의 존보다 내측의 존인 Edge 존의 가스의 공급량을 늘리는 것에 의해, Very Edge 존의 에치 레이트가 향상되고, 면 내 깊이의 면 내 균일성이 향상되었다 생각된다.
이상, 본 발명의 바람직한 실시형태에 대하여 기술했지만, 본 발명은 이러한 특정한 실시형태로 한정되는 것이 아니고, 특허청구범위 내에 기재된 본 발명의 요지의 범위 내에 있어서, 다양한 변형ㆍ변경이 가능하다. 예컨대, 본 발명의 플라즈마 에칭 장치에서 에칭 가능한 피처리체는, 특별하게 한정되지 않는다. 구체적으로는, 예컨대, 실리콘 기판으로 이루어지는 웨이퍼로서, 그 웨이퍼 위에 이산화규소(SiO2)막, 폴리실리콘막으로 이루어지는 피에칭막, 1층 또는 복수층으로 이루어지는 마스크층, 반사 방지막(Bottom Anti-Reflective Coating; BARC) 및 포토레지스트막 등이 형성되어 있는 것 등을 사용할 수 있다. 이때, 레지스트막은, 미리 노광, 현상이 행해지고, 소정의 패턴이 형성되어 있다.
본 국제 출원은, 2011년 8월 31일에 출원된 일본 특허 출원 2011-188600호에 근거하는 우선권 및 2011년 9월 15일에 출원된 미국 가출원 61/534973호에 근거하는 우선권을 주장하는 것이고, 그 전체 내용을 본 국제 출원에 원용한다.
10, W : 웨이퍼
105 : 서셉터(지지부)
106 : 온도 분포 조정부
120 : 상부 전극(전극)
122 : 벨로즈
130 : 조절부
140 : 샤워 헤드
143 : 버퍼실
145 : 환상 격벽 부재
150 : 가스 공급 장치
190 : 장치 제어부
200 : 상부 전극 구동부(간격 조정부)

Claims (14)

  1. 플라즈마화된 처리 가스에 의해 기판을 에칭하는 플라즈마 에칭 장치에 있어서,
    처리 용기와,
    상기 처리 용기 내에 마련된, 기판을 유지하는 유지부와,
    상기 처리 용기 내에 마련된, 상기 유지부와 대향하는 전극판과,
    상기 유지부와 상기 전극판의 사이에 있는 공간에 처리 가스를 공급하기 위한, 상기 기판의 지름 방향에 대하여 각각 다른 위치에 배치된 복수의 공급부와,
    상기 유지부 또는 상기 전극판의 적어도 한쪽에 고주파 전력을 공급하는 것에 의해, 상기 복수의 공급부에 의해 상기 공간에 공급된 처리 가스를 플라즈마화하는 고주파 전원과,
    상기 복수의 공급부의 각각에 대응하여, 처리 가스의 공급 조건을 조절하는 조절 수단과,
    상기 기판 위에 있어서의, 플라즈마화된 처리 가스에 포함되는 활성종의 농도 분포에 대하여, 공급된 처리 가스의 확산의 영향이 공급된 처리 가스의 흐름의 영향보다 큰 위치와, 상기 공급된 처리 가스의 흐름의 영향이 상기 공급된 처리 가스의 확산의 영향보다 큰 위치에서, 상기 공급 조건을 바꾸도록 상기 조절 수단을 제어하는 제어부
    를 갖는 플라즈마 에칭 장치.
  2. 제 1 항에 있어서,
    상기 복수의 공급부는, 상기 기판의 지름 방향 중심측의 부분에 처리 가스를 공급하는 제 1 공급부와, 상기 중심측의 부분보다 상기 기판의 지름 방향 외주측의 부분에 처리 가스를 공급하는 제 2 공급부를 갖고,
    상기 제 2 공급부에 의해 처리 가스가 공급되는 상기 기판 부분의 활성종 농도 분포에 있어서, 상기 확산의 영향이 상기 흐름의 영향보다 크다면, 상기 제어부는, 상기 제 2 공급부에 의해 공급되는 처리 가스의 제 1 공급 조건을 조정하도록 상기 조절 수단을 제어하는 것이고,
    상기 제 2 공급부에서 처리 가스가 공급되는 상기 기판 부분의 활성종 농도 분포에 있어서, 상기 흐름의 영향이 상기 확산의 영향보다 크다면, 상기 제어부는, 상기 제 1 공급부에 의해 공급되는 처리 가스의 제 2 공급 조건을 조정하도록 상기 조절 수단을 제어하는 것인
    플라즈마 에칭 장치.
  3. 제 2 항에 있어서,
    상기 제 2 공급부에 의해 처리 가스가 공급되는 상기 기판 부분에 있어서의, 처리 가스의 유속을 u로 하고, 처리 가스의 확산 계수를 D로 하고, 상기 유지부와 상기 전극판의 간격을 L로 했을 때에,
    상기 확산의 영향이 상기 흐름의 영향보다 큰 조건은, uL/D에 의해 연산되는 페클렛 수(Peclet number)가 1보다 작을 때이고,
    상기 흐름의 영향이 상기 확산의 영향보다 큰 조건은, uL/D에 의해 연산되는 페클렛 수가 1 이상일 때인
    플라즈마 에칭 장치.
  4. 제 2 항에 있어서,
    상기 제 1 공급 조건과 상기 제 2 공급 조건은, 모두 처리 가스의 공급 유량인 플라즈마 에칭 장치.
  5. 제 2 항에 있어서,
    상기 제 1 공급부와 상기 제 2 공급부는, 모두 2개 이상의 처리 가스를 혼합하여 공급하는 것이고,
    상기 제 1 공급 조건과 상기 제 2 공급 조건은, 모두 상기 2개 이상의 처리 가스를 혼합하는 혼합비인
    플라즈마 에칭 장치.

  6. 제 2 항에 있어서,
    상기 제 1 공급부는, 상기 전극판으로서, 상기 유지부에 유지되어 있는 상기 기판의 중심에 대향하는 위치를 중심으로 하여 동심원 형상으로 구획된 복수의 부분 중, 하나의 부분에 형성된 제 1 공급구를 포함하고, 상기 제 1 공급구를 거쳐서 상기 공간에 처리 가스를 공급하는 것이고,
    상기 제 2 공급부는, 상기 전극판으로서, 상기 복수의 부분 중, 상기 하나의 부분보다 상기 기판의 지름 방향 외주측의 부분에 형성된 제 2 공급구를 포함하고, 상기 제 2 공급구를 거쳐서 상기 공간에 처리 가스를 공급하는 것인
    플라즈마 에칭 장치.
  7. 제 6 항에 있어서,
    상기 전극판의 상기 유지부와 반대쪽에 마련된, 상기 전극판을 지지하는 전극 지지판을 갖고,
    상기 제 1 공급부 및 상기 제 2 공급부의 각각은, 상기 전극 지지판의 내부 또는 상기 전극 지지판과 상기 전극판의 사이에, 상기 유지부에 유지되어 있는 상기 기판의 중심에 대향하는 위치를 중심으로 하여 동심원 형상으로 구획되도록 형성된, 제 1 버퍼실 및 제 2 버퍼실의 각각을 갖고,
    상기 제 1 공급구는, 상기 제 1 버퍼실과 연통되어 있고,
    상기 제 2 공급구는, 상기 제 2 버퍼실과 연통되어 있는
    플라즈마 에칭 장치.
  8. 플라즈마화된 처리 가스에 의해 기판을 에칭하는 플라즈마 에칭 방법에 있어서,
    처리 용기 내에 마련된 유지부에 의해 기판을 유지하는 유지 단계와,
    상기 처리 용기 내에 마련된, 상기 유지부와 대향하는 전극판과 상기 유지부의 사이에 있는 공간에, 상기 기판의 지름 방향에 대하여 각각 다른 위치에 배치된 복수의 공급부에 의해 처리 가스를 공급하는 처리 가스 공급 단계와,
    상기 유지부 또는 상기 전극판의 적어도 한쪽에, 고주파 전원에 의해 고주파 전력을 공급하는 것에 의해, 상기 복수의 공급부에 의해 상기 공간에 공급된 처리 가스를 플라즈마화하는 고주파 전력 공급 단계
    를 갖고,
    상기 처리 가스 공급 단계는, 상기 기판 위에 있어서의, 플라즈마화된 처리 가스에 포함되는 활성종의 농도 분포에 대하여, 공급된 처리 가스의 확산의 영향이 공급된 처리 가스의 흐름의 영향보다 큰 위치와, 상기 흐름의 영향이 상기 확산의 영향보다 큰 위치에서, 상기 공급 조건을 바꾸도록, 상기 복수의 공급부의 각각에 대응하여 처리 가스의 공급 조건을 조절하는 조절 수단을 제어하는 것인
    플라즈마 에칭 방법.
  9. 제 8 항에 있어서,
    상기 복수의 공급부는, 상기 기판의 지름 방향 중심측의 부분에 처리 가스를 공급하는 제 1 공급부와, 상기 중심측의 부분보다 상기 기판의 지름 방향 외주측의 부분에 처리 가스를 공급하는 제 2 공급부를 갖고,
    상기 처리 가스 공급 단계는, 상기 제 2 공급부에 의해 처리 가스가 공급되는 상기 기판 부분의 활성종 농도 분포에 있어서, 상기 확산의 영향이 상기 흐름의 영향보다 크다면, 상기 제 2 공급부에 의해 공급되는 처리 가스의 제 1 공급 조건을 조정하도록 상기 조절 수단을 제어하는 것이고, 상기 제 2 공급부에 의해 처리 가스가 공급되는 상기 기판 부분의 활성종 농도 분포에 있어서, 상기 흐름의 영향이 상기 확산의 영향보다 크다면, 상기 제 1 공급부에 의해 공급되는 처리 가스의 제 2 공급 조건을 조정하도록 상기 조절 수단을 제어하는 것인
    플라즈마 에칭 방법.
  10. 제 9 항에 있어서,
    상기 처리 가스 공급 단계는, 상기 제 2 공급부에 의해 처리 가스가 공급되는 상기 기판 부분에 있어서의, 처리 가스의 유속을 u로 하고, 처리 가스의 확산 계수를 D로 하고, 상기 유지부와 상기 전극판의 간격을 L로 했을 때에, 상기 확산의 영향이 상기 흐름의 영향보다 큰 조건이란, uL/D에 의해 연산되는 페클렛 수가 1보다 작을 때이고, 상기 흐름의 영향이 상기 확산의 영향보다 큰 조건이란, 상기 페클렛 수가 1 이상일 때인 플라즈마 에칭 방법.
  11. 제 9 항에 있어서,
    상기 제 1 공급 조건과 상기 제 2 공급 조건은, 모두 처리 가스의 공급 유량인 플라즈마 에칭 방법.
  12. 제 9 항에 있어서,
    상기 제 1 공급부와 상기 제 2 공급부는, 모두 2개 이상의 처리 가스를 혼합하여 공급하는 것이고,
    상기 제 1 공급 조건과 상기 제 2 공급 조건은, 모두 상기 2개 이상의 처리 가스를 혼합하는 혼합비인
    플라즈마 에칭 방법.
  13. 제 9 항에 있어서,
    상기 제 1 공급부는, 상기 전극판으로서, 상기 유지부에 유지되어 있는 상기 기판의 중심에 대향하는 위치를 중심으로 하여 동심원 형상으로 구획된 복수의 부분 중, 하나의 부분에 형성된 제 1 공급구를 포함하고, 상기 제 1 공급구를 거쳐서 상기 공간에 처리 가스를 공급하는 것이고,
    상기 제 2 공급부는, 상기 전극판으로서, 상기 복수의 부분 중, 상기 하나의 부분보다 상기 기판의 지름 방향 외주측의 부분에 형성된 제 2 공급구를 포함하고, 상기 제 2 공급구를 거쳐서 상기 공간에 처리 가스를 공급하는 것인
    플라즈마 에칭 방법.
  14. 제 13 항에 있어서,
    상기 제 1 공급부 및 상기 제 2 공급부의 각각은, 상기 전극판의 상기 유지부와 반대쪽에 마련된, 상기 전극판을 지지하는 전극 지지판의 내부 또는 상기 전극 지지판과 상기 전극판의 사이에, 상기 유지부에 유지되어 있는 상기 기판의 중심에 대향하는 위치를 중심으로 하여 동심원 형상으로 구획되도록 형성된, 제 1 버퍼실 및 제 2 버퍼실의 각각을 갖고,
    상기 제 1 공급구는, 상기 제 1 버퍼실과 연통되어 있고,
    상기 제 2 공급구는, 상기 제 2 버퍼실과 연통되어 있는
    플라즈마 에칭 방법.
KR1020147001328A 2011-08-31 2012-08-28 플라즈마 에칭 방법 및 플라즈마 에칭 장치 KR101913889B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2011188600A JP5792563B2 (ja) 2011-08-31 2011-08-31 プラズマエッチング方法及びプラズマエッチング装置
JPJP-P-2011-188600 2011-08-31
US201161534973P 2011-09-15 2011-09-15
US61/534,973 2011-09-15
PCT/JP2012/071723 WO2013031780A1 (ja) 2011-08-31 2012-08-28 プラズマエッチング方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
KR20140068004A true KR20140068004A (ko) 2014-06-05
KR101913889B1 KR101913889B1 (ko) 2018-10-31

Family

ID=47756264

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147001328A KR101913889B1 (ko) 2011-08-31 2012-08-28 플라즈마 에칭 방법 및 플라즈마 에칭 장치

Country Status (5)

Country Link
US (2) US9349619B2 (ko)
JP (1) JP5792563B2 (ko)
KR (1) KR101913889B1 (ko)
TW (1) TWI564953B (ko)
WO (1) WO2013031780A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180020923A (ko) * 2016-08-19 2018-02-28 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 샤워 플레이트를 검사하는 방법

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
JP6157061B2 (ja) * 2012-05-11 2017-07-05 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP6063264B2 (ja) * 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
JP6030994B2 (ja) * 2013-05-15 2016-11-24 東京エレクトロン株式会社 プラズマエッチング装置及びプラズマエッチング方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
JP6195481B2 (ja) * 2013-07-08 2017-09-13 東京エレクトロン株式会社 クリーニング方法及び基板処理装置
JP6374301B2 (ja) * 2013-12-24 2018-08-15 東京エレクトロン株式会社 ステージ、ステージの製造方法、熱交換器
SG10201810390TA (en) 2014-05-21 2018-12-28 Applied Materials Inc Thermal processing susceptor
JP6346849B2 (ja) * 2014-08-20 2018-06-20 東京エレクトロン株式会社 ガス供給系、プラズマ処理装置、及びプラズマ処理装置の運用方法
CN107148661B (zh) * 2014-10-17 2019-10-18 朗姆研究公司 包括用于可调气流控制的气体分流器的气体供应输送装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6502779B2 (ja) 2015-07-29 2019-04-17 東京エレクトロン株式会社 ガス供給系のバルブのリークを検査する方法
US20170092470A1 (en) 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
CN112768332A (zh) * 2019-11-05 2021-05-07 中微半导体设备(上海)股份有限公司 一种气体输送系统及半导体处理装置
CN112951696B (zh) * 2019-12-10 2024-04-09 中微半导体设备(上海)股份有限公司 等离子体处理设备及其气体挡板结构、等离子体处理方法
JP7313269B2 (ja) * 2019-12-23 2023-07-24 東京エレクトロン株式会社 プラズマ処理装置
CN113467198B (zh) * 2020-03-31 2023-04-18 长鑫存储技术有限公司 半导体设备及半导体结构的制备方法
JP2022021712A (ja) * 2020-07-22 2022-02-03 株式会社ディスコ ウェーハの加工方法
CN114093739B (zh) * 2020-08-24 2024-03-12 中微半导体设备(上海)股份有限公司 一种气体流量调节装置和调节方法及等离子体处理装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100201386B1 (ko) 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
JP4358727B2 (ja) 2004-12-09 2009-11-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置及び供給ガス設定方法
JP4410117B2 (ja) * 2005-01-18 2010-02-03 東京エレクトロン株式会社 ガス設定方法,ガス設定装置,エッチング装置及び基板処理システム
JP5211450B2 (ja) * 2006-08-15 2013-06-12 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
JP2009170648A (ja) * 2008-01-16 2009-07-30 Disco Abrasive Syst Ltd プラズマエッチング装置
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
JP2010123812A (ja) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp プラズマ処理装置及びプラズマ処理方法
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2011192664A (ja) 2010-03-11 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
JP5689294B2 (ja) 2010-11-25 2015-03-25 東京エレクトロン株式会社 処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180020923A (ko) * 2016-08-19 2018-02-28 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 샤워 플레이트를 검사하는 방법

Also Published As

Publication number Publication date
US9887109B2 (en) 2018-02-06
US20160099161A1 (en) 2016-04-07
JP2013051315A (ja) 2013-03-14
WO2013031780A1 (ja) 2013-03-07
TW201327668A (zh) 2013-07-01
JP5792563B2 (ja) 2015-10-14
KR101913889B1 (ko) 2018-10-31
TWI564953B (zh) 2017-01-01
US9349619B2 (en) 2016-05-24
US20140193977A1 (en) 2014-07-10

Similar Documents

Publication Publication Date Title
KR101913889B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR101234256B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
KR101913891B1 (ko) 플라즈마 에칭 방법 및 반도체 장치의 제조 방법
US9583315B2 (en) Plasma etching apparatus and plasma etching method
US7662232B2 (en) Plasma processing apparatus
US9881806B2 (en) Method of manufacturing a semiconductor device
KR20070041220A (ko) 플라즈마 처리 장치
JP2012049376A (ja) プラズマ処理装置およびプラズマ処理方法
JP5859792B2 (ja) プラズマエッチング方法
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP4963694B2 (ja) プラズマ処理装置
JP4638833B2 (ja) プラズマ成膜装置およびプラズマ成膜装置のクリーニング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant