KR20140002458A - 다중 다이 패키징 인터포저 구조 및 방법 - Google Patents

다중 다이 패키징 인터포저 구조 및 방법 Download PDF

Info

Publication number
KR20140002458A
KR20140002458A KR1020120153301A KR20120153301A KR20140002458A KR 20140002458 A KR20140002458 A KR 20140002458A KR 1020120153301 A KR1020120153301 A KR 1020120153301A KR 20120153301 A KR20120153301 A KR 20120153301A KR 20140002458 A KR20140002458 A KR 20140002458A
Authority
KR
South Korea
Prior art keywords
interposer
integrated circuit
stud
redirecting layer
mounting
Prior art date
Application number
KR1020120153301A
Other languages
English (en)
Other versions
KR101476894B1 (ko
Inventor
첸후아 유
미릉지 리
하오이 차이
주이핀 흥
치엔선 이
카이치앙 우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140002458A publication Critical patent/KR20140002458A/ko
Application granted granted Critical
Publication of KR101476894B1 publication Critical patent/KR101476894B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05655Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05666Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05671Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81417Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/81424Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81438Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/81455Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81466Titanium [Ti] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8138Bonding interfaces outside the semiconductor or solid-state body
    • H01L2224/81399Material
    • H01L2224/814Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/81463Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/81471Chromium [Cr] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1023All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the support being an insulating substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/15321Connection portion the connection portion being formed on the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/191Disposition
    • H01L2924/19101Disposition of discrete passive components
    • H01L2924/19105Disposition of discrete passive components in a side-by-side arrangement on a common die mounting substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Wire Bonding (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)

Abstract

다중 다이 인터포저 구조를 제공하기 위한 시스템 및 방법이 개시된다. 일 실시예는 몰딩된 인터포저의 복수의 인터포저 스터드들을 포함하고, 재지향 층은 인터포저의 각각의 측 상에 있다. 부가적으로, 인터포저 스터드들은 우선 인터포저를 몰딩하기 이전에 와이어 본드 용접 또는 솔더링에 의해 전도성 장착 플레이트에 부착될 수 있으며, 장착 플레이트는 재지향 층들 중 하나를 형성하도록 에칭된다. 집적 회로 다이들은 인터포저의 각각의 측 상의 재지향 층들 및 제 3 집적 회로를 갖는 상부 패키지를 인터포저 어셈블리에 장착하고 전기적으로 연결하는데 이용되는 레벨간 연결 구조들에 부착될 수 있다.

Description

다중 다이 패키징 인터포저 구조 및 방법{MULTIPLE DIE PACKAGING INTERPOSER STRUCTURE AND METHOD}
본 발명은 반도체 디바이스에 관한 것이고, 보다 구체적으로는 다중 다이 패키징 밍 인터포저 구조 및 방법에 관한 것이다.
일반적으로, 현대의 전자기기들의 설계에서 구동 인자들 중 하나는 정해진 공간 내에 채워 넣어질 수 있는 컴퓨팅 전력 및 저장소의 양이다. 잘 알려진 무어의 법칙은 정해진 디바이스 상의 트랜지스터들의 수는 매 18 개월마다 대략 2배가 될 것이다는 것을 언급한다. 훨씬 더 적은 패키지들 내에 더 많은 프로세싱 전력을 압축하기 위해, 트랜지스터 크기들은, 트랜지스터 크기들을 추가로 축소하기 위한 능력이 물질들 및 프로세스들의 물리적 특성들에 의해 제한되는 지점까지 감소되었다. 설계자들은 하나의 칩으로 훨씬 더 큰 서브시스템들(칩 상의 시스템들)을 패키징함으로써, 또는 칩들 간의 거리, 및 후속적인 상호연결 거리를 감소시킴으로써 트랜지스터 크기의 제한들을 극복하도록 시도하였다.
시스템을 형성하는 다양한 칩들 간의 거리를 감소시키기 위해 이용되는 하나의 방법은 수직으로 연장하는 상호연결들을 통해 칩들을 적층하는 것이다. 이는 기판의 상위 및 하위 표면들 상의 칩들과 더불어 통해 다수의 기판 층들을 수반할 수 있다. 칩들을 기판의 상위 및 하위 측에 적용하기 위한 하나의 방법은 기판이 상위 및 하위 표면들 사이에 전기적 연결을 제공하도록 기판을 통해 배치되는 전도성 비아들을 갖는 이른바 "플립-칩" 패키징이다. 플립 칩들을 위한 이들 인터포저 기판들은 흔히 실리콘, 유리 또는 구리, 금, 또는 인터포저를 통해 비아에 배치되는 다른 도체들을 갖는 몇몇 다른 절연체이다. 비아 통해 연결들을 제공하는 인터포저들이 개선된 디바이스 성능을 제공하지만, 이들은 다양한 제조 도전과제들을 이들에 야기한다.
본 발명은 다중 다이 인터포저 구조를 제공하기 위한 시스템 및 방법을 개시한다. 일 실시예는 몰딩된 인터포저의 복수의 인터포저 스터드들을 포함하고, 재지향 층은 인터포저의 각각의 측 상에 있다. 부가적으로, 인터포저 스터드들은 우선 인터포저를 몰딩하기 이전에 와이어 본드 용접 또는 솔더링 의해 전도성 장착 플레이트에 부착될 수 있으며, 장착 플레이트는 재지향 층들 중 하나를 형성하도록 에칭된다. 집적 회로 다이들은 인터포저의 각각의 측 상의 재지향 층들 및 제 3 집적 회로를 갖는 상부 패키지를 인터포저 어셈블리에 장착하고 전기적으로 연결하는데 이용되는 레벨간 연결 구조들에 부착될 수 있다.
본 실시예들 및 이들의 이점들의 보다 완전한 이해를 위해, 이제 첨부 도면들과 함께 이루어지는 이하의 설명에 대한 참조가 이루어진다.
도 1 내지 도 4는 인터포저 스터드를 갖는 인터포저 어샘블리의 생성을 예시하는 다이어그램들.
도 5 내지 도 8은 하부 장착 다이를 위한 인터포저 어샘블리의 준비 및 하부 장착 다이의 적용을 예시하는 다이어그램들.
도 9 내지 도 11은 상부 장착 다이를 위한 인터포저 어셈블리의 준비 및 상부 장착 다이의 적용을 예시하는 다이어그램들.
도 12 내지 도 15는 이중층 인터포저의 패키징을 예시하는 다이어그램들.
도 16은 3층 집적 회로 패키지에 배치되고 회로 보드상에 장착되는 이중층 인터포저를 예시하는 다이어그램.
도 17은 레벨간 연결 구조의 대안적인 실시예를 갖는 회로 보드 상에 장착된 인터포저 어셈블리를 예시하는 다이어그램.
도 18은 인터포저 어샘블리의 대안적인 실시예를 예시하는 다이어그램.
도 19는 인터포저 구성의 대안적인 실시예를 예시하는 다이어그램.
본 실시예들의 제조 및 이용이 아래에서 상세히 논의된다. 그러나 본 개시는 매우 다양한 특유의 맥락들에서 실현될 수 있는 다수의 응용 가능한 발명적 개념들을 제공한다는 것이 인지되어야 한다. 논의되는 특유의 실시예들은 개시되는 대상의 제조 및 이용을 위한 특유의 방식들을 단순히 예시하며, 상이한 실시예들의 범위를 제한하지 않는다.
실시예들은 특정한 맥락, 즉 집적 회로 다이들의 웨이퍼 레벨 패키징에 관하여 기술될 것이다. 그러나 다른 실시예들은 또한 패키징 없는 베어 칩(bare chip), 디스플레이, 입력 컴포넌트들, 또는 임의의 다른 바람직한 전기 컴포넌트를 포함한(그러나 이들에 제한되지 않음)하는 다른 전기적으로 연결된 컴포넌트들에 적용될 수 있다.
본 발명적 개념들은 사전배치된 인터포저 스터드들 주위의 유전체 재료 캐스트(dielectric material cast) 및 사전-형성된 인터포저 스터드들을 갖는 인터포저를 제공하는 것에 관한 것이다. 재지향 층(redirection layer; RDL) 접촉들은 선택적으로 인터포저의 각 측(side)에 배치될 수 있고 인터포저 스터드들이 임의의 RDL 접촉들과 조합하도록 인터포저의 각각의 측 상에 하나 이상의 칩들이 장착된다.
이제 도 1을 참조하면, 초기 인터포저 어셈블리(100)의 다이어그램이 도시된다. 인터포저 어셈블리(100)는 초기에 하나 이상의 인터포저 스터드들(110)로부터 발달된다. 하나의 유용한 실시예에서, 인터포저 스터드들(110)은 유리하게는 구리일 수 있다. 대안적으로, 인터포저 스터드들(110)은 금, 알루미늄, 임의의 다른 적합한 도체로부터 제조될 수 있다. 인터포저 스터드들은 또한 임의의 단일의, 또는 공지의 또는 아직 발견되지 않은 기법들의 임의의 조합을 이용하여 시트 물질(sheet material)로부터 스템프(stamp)되거나, 압출 성형되거나, 와이어로부터 커팅되거나, 몰딩되거나 형성될 수 있다.
예시된 실시예에서, 인터포저 스터드들(110)은 보드 장착 스터드들(104) 및 디바이스 장착 스터드들(102)을 포함한 다양한 유형들로 이루어질 수 있다. 이러한 보드 장착 스터드들(104)은 하나의 측 상의 보드를 대향하는 측 상의 보드에 연결하는데 이용될 수 있다. 유사하게, 디바이스 장착 스터드들(102)은 인터포저의 상부측 및 하부측 상에 장착되는 칩들에 대한 핀들을 연결하는데 이용될 수 있다. 대안적으로, 인터포저 스터드들은 인터포저의 대향하는 측들 상의 임의의 디바이스, 컴포넌트들, 또는 임의의 다른 엘리먼트를 연결하는데 이용될 수 있다.
인터포저 스터드들(110)은 장착 플레이트(108) 상에 장착될 수 있고, 장착 플레이트(108)는 이어서 백업 플래이트(106) 상에 장착될 수 있다. 특히 유용한 실시예들에서, 장착 플레이트(108)는 전도성 시트일 수 있으며, 인터포저 스터드들(110)은 장착 플레이트(108)에 솔더링되거나, 용접되거나, 또는 다른 방식으로 전도성으로 부착된다. 일 실시예에서, 인터포저 스터드들(110)은 장착 플레이트(108)에 일체로(integrally) 형성될 수 있다. 이러한 구성(arrangement)는 단일피스의 물질(piece of material)로부터 구성될 수 있으며, 인터포저 스터드들은 기계적 밀링, 플라즈마 에칭, 화학적 에칭, 이온 밀링, 또는 임의의 다른 감식 프로세스(subtractive process)와 같은 물질 제거 프로세스들에 의해 형성된다. 대안적으로, 인터포저 스터드들(110)은 개별적으로 밀링될 수 있고 이어서 장착 플레이트(108) 상에 배치되고 부착된다. 하나의 특히 유용한 실시예에서, 인터포저 스터드들(110)은 웨지 본딩 용접(wedge bonding welding) 또는 볼 본딩 용접과 같은 와이어 본딩 기법을 이용하는 와이어 본딩 기계를 이용하여 장착 플레이트(108)에 부착되는 와이어 스터드들일 수 있다. 이러한 실시예는 유리하게는, 와이어의 하나의 단부를 장착 플레이트(108)에 부착할 수 있고 와이어의 알려진 크기들을 핸들링하는 기존의 장비의 부분의 이용을 허용할 수 있다. 대안적인 다른 동등하게 유용한 실시예에서, 인터포저 스터드들(110)은 바람직한 물질로부터 압출 성형되고, 이어서 솔더 페이스트(solder paste)를 이용하여 장착 플레이트(108)에 물리적으로 부착되는 네일(nail)들일 수 있다.
대안적으로, 인터포저 스터드들(110)은 인터포저 스터드들의 베이스들이 지지 플레이트(106)와 직접 짝을 이루도록 배면(backing) 플레이트(106)에 직접 장착될 수 있고 배면 플레이트(106)가 추후의 스테이지에서 제거될 때 노출될 것이다. 배면 플레이트(106)는 유리 또는 금속, 또는 인터포저가 구성되는 동안 장착 플레이트(108) 및 인터포저 스터드들(110)을 고정되게 유지하기에 충분한 임의의 다른 물질일 수 있다.
도 2는 캐리어 면(202) 내에 배치되는 인터포저 스터드들(110)을 갖는 초기 몰딩된 인터포저(200)를 예시한다. 포함되는 경우, 부착된 장착 플레이트(108)를 갖는 배면 플레이트(106) 및 인터포저 스터드들(110)은 몰딩 홈(molding chase) 내에 배치될 수 있다. 몰딩 물질은 몰딩 홈을 충전하고 캐리어 면(202)을 형성하는데 이용된다. 특히 유용한 실시예에서, 몰딩 물질은 전기 전류들이 인터포저 스터드들 사이에서 이동하는 것을 방지하기에 충분한 전기적 절연 특성들을 갖는 물질일 것이다. 유리, 에폭시(epoxy) 실리콘 이산화물(SiO2), 폴리머, 세라믹, 또는 임의의 다른 충분한 절연성 물질이 캐리어 면을 위해 유리하게 이용될 수 있다. 가열되면 액화되는 몰딩 물질은 바람직하게는, 인터포저 스터드들(110) 및 장착 플레이트(108)를 포함하는 물질보다 낮은 용융점을 가져서 인터포저 스터드들(110) 및 장착 플레이트(108)는 몰딩 물질(202)이 몰딩 홈 내로 도입될 때 용융되지 않은 채로 유지된다. 하나의 유용한 실시예에서, 캐리어 면(202)을 위한 통상적인 두께는 200-300 미크론일 수 있다. 그러나 이 두께는 인터포저(204)에서 요구되는 전기적 및 물리적 특성들에 의존하여 변할 수 있다.
몰딩 물질은 또한 유리하게는, 물질이 인터포저 스터드들(110) 주위에서 유동하고 임의의 공기방울들 또는 공극들이 캐리어 면(202)에서 형성되는 것을 방지하도록 허용하는 유동 특성들을 가질 수 있다. 물질의 점도(viscosity)가 몰딩 물질로 하여금 몰딩 홈을 충분하게 충전하도록 허용하는 지점까지 용융 가능한 몰딩 물질의 가열을 통해, 이들 유동 특성들이 달성될 수 있다. 대안적으로, 촉매 작용이 가해지거나 용제 기반 물질이 이용될 수 있으며, 여기서 물질은 점도, 표면 장력, 또는 몰딩 홈의 완전한 충전을 허용하는 다른 특성을 갖도록 선택되거나, 또는 이들을 갖도록 변형된다.
특히 유용한 실시예들에서, 캐리어 면(202)은 인쇄 회로 보드(PCB)에 부착된 다이를 언더필링(underfilling)하기 위해 흔히 이용되는 열적 세트 에폭시(thermal set epoxy)로부터 형성될 수 있다. 언더필링 및 캐리어 면(202)에 대한 동일한 재료의 이용은 특정한 재료가 정해진 열에 대해 얼마나 많이 팽창하는지에 관한 측정인 열적 팽창 계수(coefficient of thermal expansion; CTE)의 근접한 정합을 허용할 것이다. 부가적으로, 언더필링을 갖는 장착된 다이에 대한 분리는 인터포저로부터 40 내지 100 미크론이다. 다이 아래의 공간, 핀들 주위, 또는 장착된 다이 아래의 연결들을 완전히 충전하도록 구성되는 에폭시 물질은 방울들 또는 간극들을 남김없이 몰딩 홈 및 인터포저 스터드들(110) 주위의 임의의 공간을 완전히 충전하는데 또한 유용할 것이다.
특히 유용한 실시예들에서, 몰딩 홈은 인터포저 패키지에 그의 최종 형상을 제공하도록 성형될 수 있다. 따라서 정사각형, 직사각형, 팔각형, 육각형 또는 둥근 형상이 이용될 수 있다. 그러나 당업자들은 임의의 형상의 몰딩 홈이 여기서 기술된 원리들로부터 벗어남 없이 이용될 수 있다는 것을 인지할 것이다.
대안적으로, 몰딩 홈은 최종 인터포저 패키지 형상을 반영하지 않는 형상일 수 있다. 이러한 실시예에서, 캐리어 면(202)의 몰딩 물질은 임의의 추후의 시점에서 성형될 수 있다. 따라서 인터포저 스터드들(110)의 다수의 패키지들은 단일의 장착 플레이트(108)에 부착되고 단일의 몰딩 홈에 배치될 수 있다. 몰딩 물질이 몰딩 홈에 도입되고 캐리어 면(202)이 고형화된 이후, 캐리어 면(202)은 원하는 형상으로, 예를 들어, 프로세싱 동안 보다 많은 유리한 핸들링을 제공하는 형상으로, 또는 최종 또는 중간의 원하는 형상으로(그러나 이들에 제한되지 않음) 잘려지거나 또는 밀링될 수 있다. 대안적으로, 다수의 인터포저 스터드(110) 패키지들을 갖는 캐리어 면(202)은 또한 단일의 피스로서 프로세싱되고 추후의 스테이지에서 개별 인터포저 패키지들로 분리될 수 있다.
캐리어 면(202)이 형성된 이후, 인터포저(204)는 적어도 캐리어 면(202) 및 하나 이상의 인터포저 스터드들(110)로 구성된다. 장착 플레이트(108)가 몰딩 프로세스에 포함되는 경우, 장착 플레이트 또한 인터포저(204)의 부분이다.
도 3은 백업 플레이트(106)가 제거된 인터포저(202)를 예시(300)한다. 캐리어 면(202)이 형성된 이후, 백업 플레이트(106)는 제거되고, 장착 플레이트(108) 또는 다른 전도성 물질은 이미 포함되어 있지 않은 경우, 인터포저(204)에서 부착될 수 있다. 전도성 시트가 캐리어 면(202) 및 인터포저 스터드들(110)에 증착되거나, 또는 다른 방식으로 직접 부착될 수 있어서, 장착 플레이트(108)는 인터포저 스터드들(110)과의 전기적 접촉을 형성하게 된다. 인터포저(204) 내로 초기에 몰딩되든지, 또는 캐리어 면(202) 형성 이후에 부착되든지 간에, 장착 플레이트(108)는 인터포저 스터드들(110)과 임의의 원하는 장착 패드들 또는 다른 인터포저 스터드들(110) 간의 불연속 전기적 연결들(discrete electrical connections)을 형성하도록 프로세싱될 수 있다.
도 4는 인터포저(202)가 하부 RDL 구조(402)를 포함하는 패키지를 예시한다. RDL 구조(402)는 각각의 인터포저 스터드(110)에 대한 접촉 표면을 재분배(redistribute)하는데 이용될 수 있다. 대안적으로, 전도성 시트가 캐리어 면(202) 및 인터포저 스터드(110)에 본딩되고, 후속적으로 RDL 구조(402)를 형성하도록 마스킹 및 에칭될 수 있다. 하나의 유용한 실시예에서, 마운팅 플레이트(108)는 적소에 남겨지고 RDL 구조(402)를 형성하도록 임의의 알려진 또는 아직까지 발견되지 않은 수단을 통해 에칭될 수 있다. 예를 들어, 포토리소그라피는 마운팅 플레이트(108) 상에 일련의 상호연결들을 마스킹하는데 이용될 수 있고, 이는 이어서 결국 RDL 구조(402)를 형성하도록 에칭될 수 있다. 대안적으로, 어떠한 장착 플레이트(108)도 이용되지 않고 인터포저 스터드들(110)이 백업 플레이트(106)에 직접 부착되는 실시예에서, RDL 구조(402)는 백업 플레이트(106) 제거 이후에 캐리어 면(202)의 하부측 상에 증착될 수 있다. 이러한 실시예에서, 이는 RDL 구조(402)의 피처들을 마스킹하고 이어서 RDL 구조(402)를 형성하도록 전도성 물질을 증착함으로써 달성될 수 있다. 이러한 실시예에서, RDL 구조(402)는 증발(evaporation), 전해질 도금, 무전해 도금, 스크린 프린팅(screen printing), 스터퍼링, CVD, 또는 다른 적합한 증착 프로세스에 의해 증착되는 것을 포함한(그러나 이들에 제한되지 않음) 임의의 형성 프로세스들에 의해 임의의 전도성 물질로부터 형성될 수 있다.
RDL 구조(402)는 인터포저(204)가 칩들, 다이들 또는 인터포저(204)에 부착된 다른 디바이스들 간의 물리적 상호연결들을 재맵핑하도록 허용한다. 예를 들어, RDL 트래이스(trace)는 다이, 디바이스, 보드 또는 다른 인터포저를 위한 핀 또는 접촉 및 인터포저 스터드(110)에 연결하는 패드 또는 접촉들을 위한 트래이스를 가질 수 있고, 이는 결국 인터포저(204)의 대향하는 측 상의 RDL 트래이스에 연결될 수 있다. 따라서 RDL 구조(402)는 보통 일렬로 정렬되지 않은 핀들과 디바이스들이 직접 전기적으로 연결될 수 있도록, 부착된 디바이스들에 대한 접촉들을 재지향할 수 있다.
부가적으로, RDL 구조(402)는 상이한 유형들 또는 크기들의 RDL 패드로 구성될 수 있다. 하나의 유용한 실시예에서, RDL 구조는 RDL 디바이스 장착 패드들(406) 또는 RDL 보드 장착 패드들(404)을 가질 수 있다. RDL 디바이스 장착 패드들(406)은 집적 회로 다이와 같은 가까이 장착된 디바이스로부터의 핀들 또는 접촉들을 수용하도록 크기다 더 작아질 수 있다. 대안적으로, RDL 보드 장착 패드들(404)은 RDL 디바이스 장착 패드들(406)보다 크게 될 수 있고, 인터포저 어셈블리가 다른 보드에 부착될 수 있는 솔더 볼들을 수용하도록 구성될 수 있다. 예를 들어, RDL 보드 장착 패드(404) 상의 솔더 볼은 다른 디바이스 또는 보드를 인터포저 어셈블리에 부착하는데 이용될 수 있다. 솔더 볼들은 RDL 디바이스 장착 패드들(406) 상에 장착된 다이보다 높은 전기적 연결을 위해 유리하게 이용될 수 있어서, 인터포저 구조가 인터포저(204) 캐리어 면(202)의 한 측 상에서 2개의 상이한 층들, 또는 레벨들로 디바이스들 또는 보드들을 장착하도록 허용하게 된다.
도 5는 다이 장착을 위해 준비되는 RDL 구조(402)를 갖는 인터포저(204)를 예시(500)한다. RDL 디바이스 장착 패드들(406)은 전도성 부착을 용이하게 하고 다이의 장착을 허용하기 위해 그 위에 증착된 본딩 패드들(502)을 가질 수 있다. 하나의 특히 유용한 실시예들에서, 본딩 패드들(502)은 언더 범프 금속화(under bump metallization; UBM) 구조일 수 있으며, UBM은 결국 티타늄(Ti), 티타늄 구리 니켈(TiCuNi), 티타늄 질화물(TiN), 티타늄 텅스텐(TiW), 알루미늄(Al), 크롬(Cr), 솔더, 또는 임의의 다른 적합한 UBM 물질일 수 있다. 대안적으로, 본딩 패드들(502)은 니켈 금(NiAu)과 같은(그러나 이들에 제한되지 않음) 전기도금된 물질일 수 있거나, 또는 임의의 적합한 무전해 증착, 또는 무전해 니켈 무전해 팔라듐 담금 금(electroless nickel electroless palladium immersion gold; ENEPIG), 무전해 니켈 등과 같은(그러나 이들에 제한되지 않음) 다른 프로세스에 의해 증착될 수 있다.
도 6은 RDL 보드 장착 패드(404)로의 레벨간 연결 구조(602)의 적용(600)을 예시한다. 하나의 유용한 실시예에서, 레벨간 연결 구조(602)는 다이 또는 칩이 인터포저(204)와 목표 캐리어 디바이스 간의 RDL 디바이스 장착 패드들(406)에 부착되도록 허용하기에 충분한 거리만큼 인터포저(204)로부터 분리된 목표 캐리어 디바이스 또는 보드에 인터포저(204) 및 연관된 인터포저 스터드들(110)을 연결하기에 충분히 큰 솔더 볼이다.
도 7은 하부-장착된 다이(702)를 갖는 인터포저-다이 어셈블리(700)를 예시한다. 특히 유용한 실시예들에서, 프로세싱 칩, 메모리 또는 다른 디바이스와 같은(그러나 이들에 제한되지 않음) 다이(702)는 인터포저(204)의 아래 측에 장착될 수 있다. 다이(702)를 인터포저에 장착하기 위한 하나의 시스템은 솔더 볼 그리드 어레이(704)일 수 있으며, 솔더 볼이 다이(702)의 하나 이상의 핀들 또는 접촉들 상에 증착되고, 이어서 결국, 본딩 패드들(502) 및 RDL 디바이스 장착 패드들(406)에 다이(702)를 부착하는데 이용된다. 제시된 원리들은 다이(702)를 부착하기 위해 볼 그리드 어레이(704)를 이용하는 것으로서 여기서 기술되었지만, 범프 칩 캐리어(bump chip carrier; BCC), 이중 인-라인 패키지(dual in-line package; DIP), 랜드 그리드 어레이(land grid array; LGA), 다중-칩 모듈(multi-chip module; MCM), 쿼드 평면 리드 없는 패키지(quad flat non-leaded package; QFN), 쿼드 플랫 패키지 등을 포함(그러나 이들에 제한되지 않음)하는 임의의 알려진 장착 기법이 다이(702)를 RDL 디바이스 패드들(706)에 장착하는데 유리하게 이용될 수 있다.
도 8은 언더필링(802)을 갖는 하부 장착 다이(702)를 구비하는 인터포저-다이 어셈블리(800)를 예시한다. 특히 유용한 실시예들에서, 에폭시 언더필링(802)은 다이(702)와 인터포저(204) 사이에 적용될 수 있다. 이는 다이(702)를 인터포저(204)에 고정하는데 도움을 주고, RDL 구조에 대한 임의의 연결이 물리적 응력 하에서 파손되거나 느슨하게 되는 것을 방지한다.
에폭시 캐리어 면(202)과 조합한 에폭시 언더필링(802)의 이용은 CTE의 정합을 허용하여서, 언더필링(802)과 캐리어 면(202) 또는 인터포저(204)가 온도 변화에 응답하여 일반적으로 동일한 레이트로 팽창하게 된다. 부가적으로, 에폭시 바디(epoxy body)를 갖는 다이(702)는 인터포저(204)와 언더필링(802)의 CTE에 다이(702) CTE를 정합시키는 것을 허용할 것이다. 당업자들은 보통의 유리의 CTE가 대략 8.5 * ppm/℃이고 봉규산염 유리의 경우에는 3.3 * ppm/℃인 반면에, 에폭시들의 CTE는 15 내지 100 ppm/℃ 범위에 있을 수 있다. 유리 또는 세라믹과 같은 절연 물질들이 인터포저(204)의 캐리어 면(202)을 위해 이용될 수 있지만, 캐리어 면(202), 다이(702), 및 언더필링(802)의 CTE를 정합시키는 것이 이들 엘리먼트들의 상호연결 상에 물리적 응력을 감소시키는데 바람직하다.
그러나 물질들의 임의의 조합이 여기서 개시된 원리들로부터 벗어남 없이 이용될 수 있다. 예를 들어, 언더필링(802)은 전체가 제거될 수 있고, 다이(702) 패키지는 폴리머 또는 플라스틱일 수 있거나, 또는 캐리어 면(202)은 유리, 실리콘 이산화물, 또는 임의의 다른 유전체 또는 절연 물질일 수 있다.
도 9는 장착된 인터포저-다이 어셈블리(900)를 예시한다. 인터포저-다이 어셈블리(900)는 선택적으로 접착제(902) 또는 다른 충전제를 이용하여 프로세싱 캐리어 플레이트(904)에 장착될 수 있다. 이 캐리어 플레이트(904)는 하나의 유용한 실시예에서, 어셈블리(900)의 상부측이 프로세싱되는 동안 인터포저-다이 어셈블리(900)를 관리 또는 지지하는데 이용될 수 있다. 부가적으로, 접착제(902)는 유리하게는, 인터포저(204)와 다이(702)에 대한 지지를 제공하면서, 레벨간 연결 구조(602)를 커버하고 레벨간 연결 구조(602)와의 간섭을 방지하기에 충분히 두껍게 적용될 수 있다. 프로세싱 캐리어 플레이트(904)는 추가의 프로세싱을 위해 인터포저-다이 어셈블리(900)를 유지하고 지지하기 것이 바람직하지만, 인터포저-다이 어셈블리(900)는 상부 피처들을 프로세싱하기에 적합한 임의의 방식으로 핸들링될 수 있다.
도 10은 지지되는 인터포저 어셈블리(1000)의 준비를 예시한다. 특히 유용한 실시예들에서, 캐리어 면(202)은 그라운딩, 폴리싱, 또는 인터포저 스터드들(110)을 노출하도록 다른 방식으로 감소될 수 있다. 대안적으로, 이러한 감소 단계는 캐리어 면(202)이 인터포저 스터드들(110)을 커버하지 않는 실시예들에서 제거될 수 있다.
도 11은 인터포저 어셈블리의 상부측 상의 프로세싱 피처들(1100)을 예시한다. 인터포저 어셈블리를 준비하고 다이를 상부측에 부착하기 위한 이들 프로세싱 단계들은 하부 측에 대해 수행된 프로세싱 단계들과 유사할 수 있다. 하나 이상의 상위 RDL 디바이스 장착 패드들(1102) 또는 하나 이상의 상위 RDL 보드 장착 패드들(1104)로 구성되는 상위 RDL 구조(1106)는 인터포저(204)의 상위 표면 상에 생성될 수 있다. 인터포저(204)의 하부 측 상의 RDL 구조(402)의 형성과 유사하게, 상위 RDL 구조(1106)는 전도성 시트를 부착하고 나서 시트를 마스킹 및 에칭함으로써, 또는 상위 RDL 구조(1106) 경계들을 마스킹하고 상위 RDL 구조(1106)를 형성하도록 전도성 물질을 증착함으로써 형성될 수 있다. 또한, 본딩 패드들(502)은 상위 RDL 구조(1106)에 부착될 수 있고, 다이(702)는 볼 그리드 어레이(704) 또는 임의의 다른 적합한 다이(702) 부착 방법을 이용하여 본딩 패드들(502)에 부착될 수 있다. 언더필링(802)은 또한 선택적으로 다이(702)를 인터포저(204)에 대해 고정하도록 상위 표면 상의 다이(702)에 적용될 수 있다.
당업자들은 상부측에 대한 피처들을 프로세싱하기 위한 단계들이 하부 피처들에 대한 단계들 이전에 또는 이후에 수행될 수 있다는 것을 인지할 것이다. 대안적으로, 인터포저 어셈블리의 상부측 및 하부측을 프로세싱하기 위한 단계들은 제시되는 원리들의 사상 또는 범위로부터 벗어남 없이 섞일 수 있다. 예를 들어, 제한 없이, 인터포저(204)의 상부 및 하부 상의 RDL 구조들(402 및 1106)은 임의의 본딩 패드들(502)이 적용되기 이전에 생성될 수 있다. 유사하게, 본딩 패드들(502)은 임의의 다이(702)가 부착되기 이전에 인터포저(204)의 양 측들에 적용될 수 있다.
디바이스 장착 스터드들을 이용하여 레벨간 연결 구조를 형성하기 위한 대안적인 실시예를 예시하기 위해, 본딩 패드들(502)은 상위 RDL 디바이스 장착 패드들(1102)에만 적용되는 것으로서 이 도면에서 도시된다. 그러나 당업자들은 위에서 기술된 레벨간 연결 구조(602)를 형성하기 위한 동일한 방법 및 구조가 인터포저 어셈블리의 상부에 유리하게 적용될 수 있다는 것을 인지할 것이다.
도 12는 부착된 레벨간 마운팅 스터드들을 갖는 인터포저 어셈블리를 예시(1200)한다. 레벨간 장착 스터드들(1202)은 임의의 적합한 전도성 부착 방법에 의해 상위 RDL 보드 장착 패드들(1104)에 장착될 수 있다. 하나의 특히 유용한 실시예에서, 레벨간 장착 스터드들(1202)은 보드 장착 스터드들(104)에 부착되고, 보드 장착 스터드들(104)은 이어서 인터포저 어셈블리의 하부 상의 레벨간 연결 구조(602)에 부착된다. 따라서 디바이스 또는 보드는 상위 다이(702) 위에 장착될 수 있고, 레벨간 장착 스터드(1202), 보드 장착 스터드(104) 및 레벨간 연결 구조(602)에 의해 제공된 전도성 경로를 통해 인터포저 어셈블리(1300)가 장착되는 목표 캐리어 보드 또는 디바이스와 통신할 수 있다. 대안적으로, 상위 RDL 보드 장착 패드들(1104) 또는 하위 RDL 보드 장착 패드들(406)은 RDL 구조(402) 또는 상위 RDL 구조(1106)를 통해 임의의 상위 또는 하위 RDL 디바이스 장착 패드(406 및 1102)에 연결될 수 있다. 당업자들은 상위 및 하위 RDL 구조(1106 및 402)가 임의의 다이(702) 연결 핀을 임의의 다른 다이(702) 연결 핀, 레벨간 연결 구조(602) 또는 장착 스터드들(1202)에 연결할 수 있고, 이는 다이가 인터포저 패키지(1200)의 임의의 다른 디바이스 또는 임의의 다른 연결된 회로에 전기적으로 연결되는 것을 허용한다.
도 13은 프로세싱 캐리어 플레이트(904) 및 접착제(902)가 제거된 인터포저 패키지(1300)를 예시한다. 캐리어 플레이트(904)의 본딩해제(debonding) 및 접착제(902)의 제거는 캐리어 플레이트(904)와 접착제(902)의 물리적 박피, 캐리어 플레이트(904)의 분쇄, 용제를 이용한 접착제(902)의 용해 등을 포함(그러나 이들에 제한되지 않음)하는 임의의 수단에 의해 달성될 수 있다. 유리한 본딩해제 방법들은 임의의 접착제(902)를 완전히 제거하는 동안 인터포저 패키지(1300) 및 연관된 구조들의 손상을 방지할 것이다.
도 14는 애플리케이션 패키징(1402) 상에 장착되고 설치를 위해 준비되는 인터포저 패키지(1300)를 예시(1400)한다. 애플리케이션 패키징(1402)은 테이프, 접착제, 또는 인터포저 패키지(1300)가 자동화된 장비에서 핸들링, 프로세싱, 또는 이용되도록 허용하기에 적합한 몇몇 다른 캐리어일 수 있으며 목표 캐리어 보드에 장착된다.
도 15는 자동화된 장비에서 이용하기 위한 다중 인터포저 패키지들(1300)의 싱귤레이션(singulation)을 예시(1500)한다. 생산 환경에서, 자동화된 기계에서 이용의 편의를 위해 단일의 캐리어 상에서 다수의 인터포저 패키지들(1300)을 갖는 것이 바람직할 수 있다. 이러한 실시예에서, 인터포저 패키지들(1300)에는 가용성 테이프 스트립, 또는 다른 애플리케이션 패키징(1402)이 적용되고, 애플리케이션 패키징은 이어서 패키징이 바람직한 그리고 반복 가능한 위치에서 분리될 수 있는 것을 보장하는 싱귤레이션 피처(1502)에 의해 스코어화(scored)된다.
당업자들은 애플리케이션 패키징(1402)로의 인터포저 패키지(1300)의 적용 및 싱귤레이션이 다양한 방식들로 유리하게 행해질 수 있다는 것을 인지할 것이다. 하나의 유용한 실시예에서, 단일의 인터포저 캐리어 면(202) 상의 다수의 인터포저 패키지들(1300)이 애플리케이션 패키징(1402)에 부착될 수 있고, 이어서 각각의 인터포저 패키지(1300)를 분리하기 위해 캐리어 면(202)을 커팅 또는 에칭함으로써 개별 인터포저 패키지들(1300)로 분리될 수 있다. 부가적으로, 이러한 실시예에서, 싱귤레이션 피처(1502)는 인터포저 패키지들(1300)이 분리된 이후 생성될 수 있거나, 또는 애플리케이션 패키징(1402)에서 부분적인 커팅의 형태를 취하는 경우 싱귤레이션 피처(1502)는 인터포저 패키지들(1300)이 분리되는 것과 동일한 시간에 형성될 수 있으며, 커팅 장치는 캐리어 면(202)을 통해 애플리케이션 패키징(1402)으로의 단일의 커팅을 이룬다.
도 16은 회로들(1600)의 4개의 층들을 생성하기 위해 3층 집적 회로 패키지에 배치되고 목표 캐리어 회로 보드(1616) 상에 장착되는 이중층 인터포저 패키지(1300)를 예시(1600)한다. PCB(1616)는 다수의 디바이스들이 장착되고 전기적으로 연결되어야 할 때 전기 회로들에 대한 공통적인 캐리어이고 목표 캐리어 보드(1616)일 수 있다. 인터포저 패키지(1300)는 인터포저 패키지(1300)의 하부 상의 레벨간 연결 구조(602)를 통해 목표 캐리어 보드(1616)에, 또는 하위 PCB에 장착될 수 있다. PCB(1616)는 PCB(1616)의 표면 상에 배치되고 전기적 연결을 위해 인터포저 패키지(1300)를 수용하도록 구성되는 장착 패드들 또는 연결 지점들(1618)을 가질 수 있다. 레벨간 연결 구조들(602)을 장착하는 솔더 볼이 이용되는 경우, 솔더 볼은, 일단 냉각되고 고형화되면 인터포저 패키지(1300)를 하위 PCB(1616)에 대해 고정하는 PCB 장착 패드(1618)에 집적 연결되고 접착될 수 있다. 부가적으로, 하위 PCB 장착 패드들(1618)은 다른 회로들 또는 컴포넌트들에 대한 전기적 연결일 수 있으며, 이는 인터포저 패키지 및 부착된 다이들(702)이 하위 PCB(1616)를 통해 외부 회로들과 통신하도록 허용한다.
상부 패키지(1620)는 또한 3층 패키지를 형성하기 위해 전체 인터포저 패키지(1300)에 포함될 수 있다. 상위 PCB(1608)는 또한 레벨간 장착 스터드들(1202), 또는 솔더 볼 마운트(1614), 또는 이들 둘의 조합을 이용하여 인터포저 패키지(1300)의 상부에 부착될 수 있으며, 솔더 볼(1614)은 상위 PCB(1608)의 장착 패드들(1612)에 대해 레벨간 장착 스터드들(1202)을 유지한다. 상위 PCB는 디바이스들, 회로들 또는 구조들의 임의의 조합을 가질 수 있다. 예를 들어, 제한 없이, 상위 PCB(1608)는 장착 패드(1606)에 부착되고 연결 지점(1610)을 경유하는 와이어 본드(1604)를 통해 상위 PCB(1608)에 전기적으로 연결되는 메모리 칩(1602)과 같은 디바이스를 가질 수 있다. 이러한 예시적인 실시예에서, 인터포저 상의 다이들(702)은 로직 또는 프로세싱 집적 회로들, 인터페이스 또는 터치스크린 제어기들, 통신 칩들 등일 수 있다. 상위 PCB(1608) 및 하위 PCB(1616)는 임의의 유형의 전기적 트래이스가 그 위에 장착될 수 있으며, PCB들은 보드 그 자체를 통하는 비아들 또는 다른 연결들을 공통적으로 가져서 PCB의 각각의 측 상의 회로들이 전기적 접촉이 되도록 허용한다는 것을 당업자들은 인지할 것이다.
따라서 인터포저(204)의 상위 측 상에 장착된 다이(702), 인터포저(204)의 하부 측 상에 장착된 다이(702), 및 상부 패키지(1620)의 집적 회로(1602)는 각각 다른 컴포넌트들의 임의의 것과 통신할 수 있으며, 임의의 다른 컴포넌트는 RDL 구조들(1106 및 406), 인터포저 스터드들(110) 및 레벨간 연결 구조들(602 및 1202)을 통해 디바이스에 연결된다.
레벨간 장착 스터드들(1202)의 물리적 구성은 또한 제시된 원리로부터 벗어남 없이 시스템 요건들에 따라 변하게 될 수 있다. 도 17은 레벨간 연결 구조(602)의 대안적인 실시예를 갖는 인터포저 어셈블리(1700)를 예시한다. 인터포저 패키지(1300)는 인터포저(204)를 PCB(1616) 보드 장착 패드(1618)에 연결하기 위해 인터포저(204)의 하부 상에 장착되고, 솔더 연결(1702)과 함께 이용되는 인터포저 스터드(1202)를 가질 수 있다.
도 18은 인터포저 어셈블리(1800)의 대안적인 실시예를 예시한다. 이 실시예에서, 캐리어 면(202)의 인터포저 스터드들(110)은 다수의 다이들(702), 또는 소켓 장착 다이들(1802)을 레벨간 연결 구조들(602)에 연결할 수 있어서, 인터포저(204) 상에 배치된 다이들(702)이 인터포저(204)의 대향하는 측 상의 디바이스들과 통신하도록 허용한다.
도 19는 다수의 적층된 인터포저들(204)을 갖는 인터포저 구성(1900)의 대안적인 실시예를 예시한다. 이러한 실시예에서, RDL 구조들(402)은 상이한 인터포저들의 인터포저 스터드들(110) 간의 연결들을 재맵핑하기 위해 인터포저(204) 내에, 인터포저(204)의 하부 상에, 또는 양 표면들 상에 배치될 수 있다. 따라서 다수의 인터포저(204)는 적층될 수 있고, RDL 구조들(402) 및 인터포저들(110)의 조합은 전기적 연결 맵핑을 주문제작하도록 하나 이상의 미리 정의된 인터포저(204) 설계들을 이용하여 전기적 접촉들을 재지향하기 위한 능력을 제공할 수 있다.
본 발명들 및 본 발명의 이점들이 상세히 기술되었지만, 다양한 변경들, 대체들 및 변형들이 첨부된 청구항들에 의해 정의된 바와 같은 본 개시의 사상 및 범위로부터 벗어남 없이 여기서 이루어질 수 있다는 것이 이해되어야 한다. 위에서 논의된 피처들 및 기능들 대부분은 프로세싱 단계들에 대한 다양한 물질들 및 순서들을 이용하여 구현될 수 있다는 것이 당업자들에 의해 쉽게 이해될 것이다. 예를 들어, 인터포저 스터드들은 속이 차있거나 비어있을 수 있고 임의의 전도성 물질들 또는 심지어 이러한 물질들을 요구하는 반도체 물질일 수 있다. 다른 예로서, 단계들 대부분은 본 개시의 범위 내에 머무르면서 임의의 유리한 순서로 수행될 수 있다는 것이 당업자들에 의해 쉽게 이해될 것이다.
또한, 본 출원의 범위는 본 명세서에서 기술된 프로세스, 머신, 제조 및 물질의 구성, 수단, 방법들 및 단계들의 특정한 실시예들에 제한되지 않도록 의도된다. 당업자는 여기서 기술된 대응하는 실시예들과 실질적으로 동일한 결과를 달성하거나 실질적으로 동일한 기능을 수행하고 본 개시에 따라 활용될 수 있는, 현재 존재하거나 추후에 개발될 프로세스, 머신, 제조, 물질의 구성, 수단, 방법들, 또는 단계들을 본 개시로부터 쉽게 인지할 것이다. 이에 따라, 첨부된 청구항들은 이러한 프로세스, 장치들, 제조, 물질의 구성, 수단, 방법들, 또는 단계들을 그의 범위 내에 포함하도록 의도된다.

Claims (10)

  1. 인터포저 어셈블리(interposer assembly)를 구성하기 위한 방법에 있어서,
    전도성 장착 플레이트 상에 적어도 하나의 전도성 인터포저 스터드(conductive interposer stud)를 장착하는 단계;
    상기 장착 플레이트의 적어도 제 1 표면이 캐리어 면(carrier plane) 외부에 있고 상기 장착 플레이트의 적어도 제 2 표면이 상기 캐리어 면의 제 1 표면과 접촉하도록 상기 적어도 하나의 인터포저 스터드 주위에 상기 캐리어 면을 몰딩하는 단계;
    상기 캐리어 면의 제 1 표면 상에 적어도 하나의 인터포저 스터드와 전기적으로 접촉하는 제 1 재지향(redirection) 층을 형성하는 단계;
    제 1 집적 회로 다이가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 제 1 재지향 층의 적어도 일부에 적어도 상기 제 1 집적 회로 다이의 적어도 일부를 부착하는 단계;
    상기 캐리어 면의 제 2 표면 상에 제 2 재지향 층을 형성하는 단계;
    제 2 집적 회로 다이가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 제 2 재지향 층의 적어도 일부에 제 2 집적 회로 다이의 적어도 일부를 부착하는 단계; 및
    적어도 하나의 제 1 레벨간 연결 구조(interlevel connection structure)가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 제 1 재지향 층의 적어도 일부에 적어도 하나의 제 1 레벨간 연결 구조를 부착하는 단계
    를 포함하고,
    상기 제 1 레벨간 연결 구조는 목표 캐리어 보드(target carrier board)로부터 제 1 분리 거리에서 장착되는 상기 인터포저 어셈블리를 유지(hold)하도록 구성되는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 2 재지향 층을 형성하는 상기 방법의 단계는 적어도 하나의 인터포저 스터드의 일부가 상기 캐리어 면의 제 2 표면에 노출되도록 상기 캐리어 면의 제 2 표면에서 상기 캐리어 면의 적어도 일부를 제거하는 단계를 포함하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  3. 제 1 항에 있어서,
    상기 적어도 하나의 인터포저 스터드를 장착하는 단계는 이어 본딩 용접 기법(wire bonding welding technique)을 이용하여 장착 플레이트에 적어도 하나의 와이어 인터포저 스터드를 부착하는 단계를 포함하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  4. 제 1 항에 있어서,
    상기 적어도 하나의 인터포저 스터드를 장착하는 단계는 전도성 금속으로부터 상기 적어도 하나의 인터포저 스터드를 형성하고 상기 인터포저 스터드들을 상기 장착 플레이트에 솔더링하는 단계를 포함하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  5. 제 1 항에 있어서,
    상기 제 1 재지향 층을 형성하는 상기 방법의 단계는 상기 제 1 재지향 층을 형성하기 위해 상기 장착 플레이트를 변형하는 단계를 포함하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  6. 제 1 항에 있어서,
    적어도 하나의 제 2 레벨간 연결 구조가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 제 2 재지향 층의 적어도 일부에 적어도 하나의 제 2 레벨 연결 구조를 부착하는 단계; 및
    상부 패키지의 집적 회로 다이가 적어도 하나의 제 2 레벨간 연결 구조와 신호 통신하도록 적어도 하나의 제 2 레벨간 연결 구조에, 적어도 하나의 집적 회로 다이 및 적어도 하나의 장착 보드를 포함하는 상부 패키지를 부착하는 단계
    를 더 포함하는, 인터포저 어셈블리를 구성하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 캐리어 면을 몰딩하는 상기 방법의 단계는 2개 이상의 인터포저 어셈블리 각각에 대한 인터포저 스터드 패키지들을 포함하는 캐리어 면을 몰딩하는 단계를 포함하고,
    상기 방법은,
    상기 캐리어 면을 2개 이상의 캐리어 면들 - 각 캐리어 면은 하나의 인터포저 어셈블리에 적합한 인터포저 스터들을 갖음 - 로 기계적으로 분리하는 단계
    를 더 포함하는, 인터포저 어셈블리를 구성하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 몰딩하는 단계는 열적 세트 에폭시(thermal set epoxy)를 이용하여 상기 캐리어 면을 몰딩하는 단계를 포함하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  9. 인터포저 어셈블리를 구성하기 위한 방법에 있어서,
    전도성 장착 플레이트 상에 하나 이상의 전도성 인터포저 스터드들을 장착하는 단계;
    백업 플레이트(backup plate) 상에 상기 장착 플레이트를 장착하는 단계;
    상기 장착 플레이트가 캐리어 면과 상기 백업 플레이트 사이에 있고 상기 장착 플레이트가 상기 캐리어 면의 제 1 표면과 접촉하도록 적어도 하나의 인터포저 스터드 주위에 캐리어 면을 몰딩하는 단계;
    상기 캐리어 면의 제 1 표면 상에, 적어도 하나의 인터포저 스터드와 전기적으로 접촉하는 제 1 재지향 층을 형성하는 단계;
    제 1 집적 회로 다이가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 캐리어 면의 제 1 표면 및 상기 제 1 재지향 층의 적어도 일부에 적어도 상기 제 1 집적 회로 다이의 적어도 일부를 부착하는 단계;
    제 2 집적 회로 다이가 적어도 하나의 인터포저 스터드 및 상기 제 1 집적 회로 다이와 신호 통신하도록 상기 캐리어 면의 제 2 표면에 상기 제 2 집적 회로 다이의 적어도 일부를 부착하는 단계;
    적어도 하나의 제 1 레벨간 연결 구조가 적어도 하나의 인터포저 스터드와 신호 통신하도록 상기 제 1 재지향 층의 적어도 일부에 적어도 하나의 제 1 레벨간 연결 구조를 부착하는 단계;
    적어도 하나의 제 2 레벨간 연결 구조가 적어도 하나의 인터포저 스터드, 및 적어도 하나의 인터포저 스터드를 통해 적어도 하나의 제 1 집적 회로 다이와 신호 통신하도록 상기 캐리어 면의 제 2 표면에 적어도 하나의 제 2 레벨 연결 구조를 부착하는 단계; 및
    적어도 하나의 제 2 레벨간 연결 구조에 상부 패키지를 부착하는 단계
    를 포함하고,
    상기 상부 패키지는 적어도 하나의 집적 회로 및 적어도 하나의 장착 보드를 포함하고, 상기 상부 패키지의 집적 회로는 적어도 하나의 제 2 레벨간 연결 구조 및 적어도 하나의 제 1 집적 회로 다이와 신호 통신하는 것인, 인터포저 어셈블리를 구성하기 위한 방법.
  10. 인터포저 패키지 장치에 있어서,
    복수의 제 1 인터포저 스터드들;
    상기 복수의 제 1 인터포저 스터드들 중 적어도 하나 주위에 몰딩된 적어도 하나의 에폭시 캐리어 면(epoxy carrier plane);
    적어도 하나의 디바이스 장착 패드 및 적어도 하나의 보드 장착 패드를 갖고 상기 캐리어 면의 제 1 표면 상에 배치되는 제 1 재지향 층 구조로서, 상기 복수의 제 1 인터포저 스터드들 중 적어도 하나는 상기 제 1 재지향 층에 전기적으로 연결되는 것인, 상기 제 1 재지향 층 구조;
    적어도 하나의 디바이스 장착 패드 및 적어도 하나의 보드 장착 패드를 갖고 상기 캐리어 면의 제 2 표면 상에 배치되는 제 2 재지향 층 구조로서, 상기 제 2 재지향 층은 적어도 하나의 인터포저 스터드와 전기적으로 연결되는 것인, 상기 제 2 재지향 층 구조;
    상기 제 1 재지향 층에 부착되는 적어도 하나의 제 1 집적 회로;
    상기 제 2 재지향 층에 부착되는 적어도 하나의 제 2 집적 회로; 및
    상기 제 2 재지향 층의 보드 장착 패드에 부착되고 상기 제 1 재지향 층의 적어도 일부에 전기적으로 연결되는 적어도 하나의 제 2 인터포저 스터드
    를 포함하고,
    상기 적어도 하나의 제 2 인터포저는 상부 패키지의 부착을 수용하고 상기 제 2 집적 회로 다이로부터 제 1 거리에서 상기 상부 패키지를 유지하도록 구성되는 것인, 인터포저 패키지 장치.
KR1020120153301A 2012-06-29 2012-12-26 다중 다이 패키징 인터포저 구조 및 방법 KR101476894B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/539,182 US8703539B2 (en) 2012-06-29 2012-06-29 Multiple die packaging interposer structure and method
US13/539,182 2012-06-29

Publications (2)

Publication Number Publication Date
KR20140002458A true KR20140002458A (ko) 2014-01-08
KR101476894B1 KR101476894B1 (ko) 2014-12-26

Family

ID=49777247

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120153301A KR101476894B1 (ko) 2012-06-29 2012-12-26 다중 다이 패키징 인터포저 구조 및 방법

Country Status (3)

Country Link
US (2) US8703539B2 (ko)
KR (1) KR101476894B1 (ko)
TW (1) TWI517351B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396300B2 (en) 2014-01-16 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof
KR20160097590A (ko) * 2015-02-09 2016-08-18 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US10163867B2 (en) 2015-11-12 2018-12-25 Amkor Technology, Inc. Semiconductor package and manufacturing method thereof
US10410999B2 (en) 2017-12-19 2019-09-10 Amkor Technology, Inc. Semiconductor device with integrated heat distribution and manufacturing method thereof

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8912651B2 (en) 2011-11-30 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Package-on-package (PoP) structure including stud bulbs and method
US8957512B2 (en) * 2012-06-19 2015-02-17 Xilinx, Inc. Oversized interposer
US8869088B1 (en) 2012-06-27 2014-10-21 Xilinx, Inc. Oversized interposer formed from a multi-pattern region mask
US8703539B2 (en) 2012-06-29 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple die packaging interposer structure and method
US9026872B2 (en) 2012-08-16 2015-05-05 Xilinx, Inc. Flexible sized die for use in multi-die integrated circuit
KR102094924B1 (ko) * 2013-06-27 2020-03-30 삼성전자주식회사 관통전극을 갖는 반도체 패키지 및 그 제조방법
US9547034B2 (en) 2013-07-03 2017-01-17 Xilinx, Inc. Monolithic integrated circuit die having modular die regions stitched together
US9915869B1 (en) 2014-07-01 2018-03-13 Xilinx, Inc. Single mask set used for interposer fabrication of multiple products
KR101676916B1 (ko) * 2014-08-20 2016-11-16 앰코 테크놀로지 코리아 주식회사 반도체 디바이스의 제조 방법 및 이에 따른 반도체 디바이스
CN104835808A (zh) * 2015-03-16 2015-08-12 苏州晶方半导体科技股份有限公司 芯片封装方法及芯片封装结构
US9543192B2 (en) * 2015-05-18 2017-01-10 Globalfoundries Singapore Pte. Ltd. Stitched devices
CN105140213B (zh) * 2015-09-24 2019-01-11 中芯长电半导体(江阴)有限公司 一种芯片封装结构及封装方法
FR3041625B1 (fr) * 2015-09-29 2021-07-30 Tronics Microsystems Dispositif de fixation de deux elements tels qu'une puce, un interposeur et un support
US9735131B2 (en) 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US11037904B2 (en) * 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
TWI824467B (zh) * 2016-12-14 2023-12-01 成真股份有限公司 標準大宗商品化現場可編程邏輯閘陣列(fpga)積體電路晶片組成之邏輯驅動器
US10957679B2 (en) * 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
KR102618460B1 (ko) 2019-03-26 2023-12-29 삼성전자주식회사 반도체 패키지 및 그 제조 방법
DE102019128274A1 (de) * 2019-05-30 2020-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Package-in-Package-gebildetes System
CN113097081B (zh) * 2021-03-31 2022-12-06 苏州汉天下电子有限公司 一种晶圆级封装结构及其制造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884707B1 (en) 2000-09-08 2005-04-26 Gabe Cherian Interconnections
US20020140096A1 (en) * 2001-03-30 2002-10-03 Siemens Dematic Electronics Assembly Systems, Inc. Method and structure for ex-situ polymer stud grid array contact formation
US7518226B2 (en) 2007-02-06 2009-04-14 Stats Chippac Ltd. Integrated circuit packaging system with interposer
US8685792B2 (en) * 2007-03-03 2014-04-01 Stats Chippac Ltd. Integrated circuit package system with interposer
US8035210B2 (en) * 2007-12-28 2011-10-11 Stats Chippac Ltd. Integrated circuit package system with interposer
US10251273B2 (en) 2008-09-08 2019-04-02 Intel Corporation Mainboard assembly including a package overlying a die directly attached to the mainboard
US8020290B2 (en) 2009-06-14 2011-09-20 Jayna Sheats Processes for IC fabrication
US8796132B2 (en) 2012-06-29 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for forming uniform rigid interconnect structures
US8703539B2 (en) 2012-06-29 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple die packaging interposer structure and method

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9396300B2 (en) 2014-01-16 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof
US10872878B2 (en) 2014-01-16 2020-12-22 Taiwan Semiconductor Manufacturing Company Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof
US11289449B2 (en) 2014-01-16 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods for semiconductor devices, packaged semiconductor devices, and design methods thereof
KR20160097590A (ko) * 2015-02-09 2016-08-18 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9780074B2 (en) 2015-02-09 2017-10-03 Amkor Technology, Inc. Semiconductor package using a coreless signal distribution structure
US11476233B2 (en) 2015-02-09 2022-10-18 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor package using a coreless signal distribution structure
US11869879B2 (en) 2015-02-09 2024-01-09 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor package using a coreless signal distribution structure
US10163867B2 (en) 2015-11-12 2018-12-25 Amkor Technology, Inc. Semiconductor package and manufacturing method thereof
US10410999B2 (en) 2017-12-19 2019-09-10 Amkor Technology, Inc. Semiconductor device with integrated heat distribution and manufacturing method thereof
US10985146B2 (en) 2017-12-19 2021-04-20 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device with integrated heat distribution and manufacturing method thereof
US11901343B2 (en) 2017-12-19 2024-02-13 Amkor Technology Singapore Holding Pte. Ltd. Semiconductor device with integrated heat distribution and manufacturing method thereof

Also Published As

Publication number Publication date
KR101476894B1 (ko) 2014-12-26
US20140084459A1 (en) 2014-03-27
US8703539B2 (en) 2014-04-22
US20140001612A1 (en) 2014-01-02
TWI517351B (zh) 2016-01-11
TW201401483A (zh) 2014-01-01
US8916956B2 (en) 2014-12-23

Similar Documents

Publication Publication Date Title
KR101476894B1 (ko) 다중 다이 패키징 인터포저 구조 및 방법
US10410993B2 (en) Manufacturing method of semiconductor device and semiconductor device thereof
US20210287966A1 (en) Semiconductor package and method of making
CN108346646B (zh) 半导体装置及其制造方法
CN109786268B (zh) 半导体封装件中的金属化图案及其形成方法
US9230901B2 (en) Semiconductor device having chip embedded in heat spreader and electrically connected to interposer and method of manufacturing the same
US10083919B2 (en) Packaging for high speed chip to chip communication
US9679881B2 (en) Semiconductor device and method of forming cavity adjacent to sensitive region of semiconductor die using wafer-level underfill material
KR20190055690A (ko) 반도체 패키지 및 그 형성 방법
US20120126395A1 (en) Semiconductor Device and Method of Forming Uniform Height Insulating Layer Over Interposer Frame as Standoff for Semiconductor Die
US20120056334A1 (en) Semiconductor Device and Method of Forming Pre-Molded Substrate to Reduce Warpage During Die Mounting
US10325880B2 (en) Hybrid 3D/2.5D interposer
KR102647008B1 (ko) 팬 아웃 패키지 및 이의 형성 방법
KR102331050B1 (ko) 반도체 패키지 및 그 형성 방법
US10276403B2 (en) High density redistribution layer (RDL) interconnect bridge using a reconstituted wafer
CN107403785B (zh) 电子封装件及其制法
CN113658944A (zh) 半导体封装件及其形成方法
KR20080114603A (ko) 의사 칩을 가진 반도체 소자 패키지
US9761570B1 (en) Electronic component package with multple electronic components
US20230411364A1 (en) Electronic package and manufacturing method thereof
US20230042800A1 (en) Electronic package and method of forming the same
TW202345240A (zh) 半導體裝置和使用膠帶附接的方法
CN116130425A (zh) 电子封装件及其制法
CN117276236A (zh) 半导体装置与其形成方法
CN111816645A (zh) 天线整合式封装结构及其制造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20171208

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181207

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191212

Year of fee payment: 6