KR20130049165A - 네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법 - Google Patents

네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법 Download PDF

Info

Publication number
KR20130049165A
KR20130049165A KR1020120124459A KR20120124459A KR20130049165A KR 20130049165 A KR20130049165 A KR 20130049165A KR 1020120124459 A KR1020120124459 A KR 1020120124459A KR 20120124459 A KR20120124459 A KR 20120124459A KR 20130049165 A KR20130049165 A KR 20130049165A
Authority
KR
South Korea
Prior art keywords
photoresist
layer
polymer
photoresist composition
exposure
Prior art date
Application number
KR1020120124459A
Other languages
English (en)
Other versions
KR102065932B1 (ko
Inventor
배영철
지빈 선
이승현
박종근
세실리 앤디즈
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20130049165A publication Critical patent/KR20130049165A/ko
Application granted granted Critical
Publication of KR102065932B1 publication Critical patent/KR102065932B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F20/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride, ester, amide, imide or nitrile thereof
    • C08F20/02Monocarboxylic acids having less than ten carbon atoms, Derivatives thereof
    • C08F20/10Esters
    • C08F20/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F20/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0387Polyamides or polyimides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Medicinal Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

본 발명은 네거티브 톤 현상에 의한 포토리소그래프 패턴의 형성 방법을 제공한다. 본 방법은 다음 화학식 (I)의 단위를 갖는 폴리머를 포함하는 포토레지스트 조성물을 사용한다. 본 방법은 반도체 디바이스의 제조에 특별히 적용할 수 있다.
Figure pat00035

상기 식에서, R1은 수소 또는 C1 내지 C3 알킬 그룹을 나타내고; a는 1 내지 3의 정수를 나타내고; 그리고 b는 0 또는 1이다.

Description

네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법{METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS BY NEGATIVE TONE DEVELOPMENT}
본 발명은 일반적으로 전자 디바이스의 제조에 관한 것이다. 보다 상세하게, 본 발명은 네거티브 톤 현상 공정을 사용하여 미세 패턴을 형성하도록 하는 포토리소그래프 방법에 관한 것이다. 본 발명은 반도체 디바이스 제조에서 특정 용도를 발견하고 미세 패턴의 형성을 허용한다.
반도체 제조 산업에 있어서, 포토레지스트 물질은 이미지를 기판 자체뿐만 아니라, 하나 이상의 하부(underlying) 층, 예컨대 반도체 기판 위에 배치된 금속, 반도체 및 유전체 층에 전달하는데 사용된다. 반도체 장치의 집적 밀도를 증가시키고 나노미터(nm) 범위의 크기를 갖는 구조물의 형성을 가능케 하기 위하여, 고-분할능을 가진 포토레지스트 및 포토리소그래피 처리 도구(tool)가 개발되었고 계속 개발 중에 있다.
포지티브-톤 화학적으로 증폭된 포토레지스트는 통상적으로 고-분할 공정에 사용된다. 이러한 레지스트는 보통 산-불안정성(acid-labile) 이탈 그룹 및 포토애시드 발생제(photoacid generator)를 갖는 수지를 채용한다. 화학 조사선(actinic radiation)에 노광시키면 산 발생제가 산을 형성하게 되고, 이 산이 노광후 베이킹(post-exposure baking) 동안 수지 중 산-불안정성 그룹의 절단을 야기한다. 이것은 수성 알칼리 현상 용액에서 레지스트의 노광 및 비노광 영역 사이에 용해도 특성의 차이를 생성시킨다. 레지스트의 노광 영역은 수성 알칼리 현상액에 가용성이고 기판으로부터 제거되는 반면, 비노광 영역은 현상액에 불용성으로 현상 후에 남아서 포지티브 이미지를 형성한다.
반도체 디바이스에서 나노미터-스케일 피처 크기를 달성하기 위한 한 방법은 화학적으로 증폭된 포토레지스트의 노광 동안 단파장, 예를 들어 193 nm 이하의 광을 사용하는 것이다. 리소그래피의 성능을 더욱 향상시키기 위해, 침지(immersion) 리소그래피 도구는, 예를 들어 KrF 또는 ArF 광원을 갖춘 스캐너와 같은 이미지화 장치의 렌즈 개구수(numerical aperture, NA)를 효과적으로 증가시키도록 개발되었다. 이는 이미지화 장치의 최종 표면과 반도체 웨이퍼의 상부 표면 사이에 상대적으로 고 굴절율 유체(즉, 침지 유체)를 사용함으로써 달성된다. 침지 유체는 공기 또는 비활성 가스 매질을 사용한 것보다 훨씬 많은 양의 광을 레지스트 층에 집중되도록 할 수 있다. 침지 유체로 물을 사용할 경우, 최대 개구수는, 예를 들어 1.2로부터 1.35의 값으로 증가할 수 있다. 이러한 개구수의 증가로, 단일 노출 공정에서 40 ㎚ 절반-피치 분할을 달성하는 것이 가능하여, 개선된 디자인 축소가 허용되다. 그러나, 이러한 표준 침지 리소그래피 공정은, 예를 들어 20 ㎚ 노드 이상을 위해 보다 높은 분할능을 요구하는 디바이스의 제조에는 적합하지 않다.
보다 높은 분할능을 달성하고 현존하는 제조 도구의 성능을 확장시키기 위한 노력으로, 다양한 이중 패턴 공정(피치 스플리팅이라고도 불리우는)과 같은 진보된 패턴화 기술이 제안되어 왔다. 미세 리소그래피 패턴을 얻기 위한 또 다른 진보된 패턴화 기술에는 전통적 포지티브형 화학적 증폭 포토레지스트 물질의 네거티브 톤 현상(negative tone development, NTD)이 포함된다. 네거티브 톤 현상에서, 네거티브 이미지는 특정 유기 용매로 현상시키는 것에 의해 전형적으로 포지티브형 레지스트로부터 얻어질 수 있다. 이러한 공정은, 예를 들어, 구달(Goodall) 등의 미국 특허 제6,790,579호에 기재되어 있다. 이 문헌은 산-발생 개시제 및 폴리머 백본을 따라 반복되는(recurring) 산-불안정성 펜던트(pendant) 그룹을 함유하는 폴리사이클릭 폴리머를 포함하는 포토레지스트 조성물을 개시한다. 노광 영역을 알칼리 현상제로 선택적으로 제거하거나, 또는 비노광 영역을 네거티브 톤 현상에 적절한 비극성 용매로 처리하여 선택적으로 제거할 수 있다.
진보된 패턴화 응용을 위해 포토레지스트에 사용되는 매트릭스 폴리머는 보통 극성 스위칭을 위한 산 불안정성 이탈 그룹을 포함하는 모노머, 콘트라스트 증진을 위한 락톤 그룹을 포함하는 모노머, 및 용해도 변경을 위한 극성 그룹을 포함하는 모노머로부터 형성되는 단위를 포함하는 코폴리머이다. 극성 그룹-포함 단위로서 하이드록시 아다만틀리 아크릴레이트(hydroxy adamantly acylate, HADA) 또는 하이드록시 아다만틀리 메타크릴레이트(hydroxy adamantly methacrylate, HAMA) 모노머로부터 형성된 단위를 포함하는 포토레지스트 매트릭스 폴리머의 사용은 공지되어 있다. 예를 들어, 츠바키(Tsubaki) 등의 미국특허출원공개 제2009/0011366A1호는 이러한 모노머로부터 형성된 반복 단위를 포함하는 수지를 사용하는 네거티브-톤 현상 방법을 개시한다. HADA- 및 HAMA-포함 매트릭스 폴리머를 포함하는 포토레지스트는 네거티브-톤 현상 공정에 사용되는 유기 현상제에서 비교적 느린 용해속도의 결과로서 형성된 레지스트 패턴에 브릿지 결함을 야기할 수 있다는 것이 본 발명자에 의해 발견되었다.
전자 디바이스 제조에서 미세 패턴을 형성할 수 있고 당업계와 관련된 하나 이상의 문제점을 해결하는 개선된 포토리소그래피 방법이 당업계에서 지속적으로 요구되고 있다.
본 발명의 제 1 측면에 따라, 네거티브 톤 현상에 의한 포토리소그래피 패턴 형성 방법이 제공된다. 본 방법은 (a) 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계; (b) 패턴화될 하나 이상의 층 위에 포토레지스트 조성물의 층을 도포하는 단계; (c) 포토레지스트 조성물 층을 화학 조사선(actinic radiation)에 패턴식(patternwise)으로 노광시키는 단계; (d) 노광된 포토레지스트 조성물 층을 노광후 베이킹(post-exposure bake) 공정에서 가열하는 단계; 및 (e) 노광후 베이킹된 포토레지스트 조성물 층을 유기 용매 현상제로 현상시켜 포토레지스트 패턴을 형성하는 단계를 포함한다. 본 포토레지스트 조성물은 다음 화학식 (I)의 단위를 포함하는 폴리머를 포함한다:
Figure pat00001
상기 식에서, R1은 수소 또는 C1 내지 C3 알킬 그룹을 나타내고; a는 1 내지 3의 정수를 나타내고; 그리고 b는 0 또는 1이다.
본 원에서, "g"는 그램을 의미하고; wt%는 중량 퍼센트를 의미하며; "L"은 리터를 의미하고; "mL"은 밀리리터를 의미하며; "nm"은 나노미터를 의미하고; "mm"은 밀리미터를 의미하며; "min"은 분을 의미하고; "h"는 시간을 의미하며; "Å"은 옹스트롬을 의미하고; "mol%"는 몰 퍼센트를 의미하며; "Mw"는 중량평균분자량을 의미하고; "Mn"은 수평균분자량을 의미하며; 단수는 복수의 개념도 포함한다.
본 발명의 바람직한 포토레지스트 조성물은 네거티브 톤 현상 공정에서 초미세 패턴을 형성하기 위해 사용되는 경우 분할, 최소선폭(critical dimension, CD) 통합성, 패턴 붕괴 마진, 초점 허용도, 노광 허용도 및 광속도의 하나 이상이 종래의 포지티브 톤 포토리소그래피 기술에 비해 개선될 수 있다. 본 원에 기술된 조성물은 건식 리소그래피 또는 침지 리소그래피 공정에서 네거티브 톤 현상에 사용될 수 있다.
본 발명을 하기 도면을 참조하여 설명하며, 도면상에서 동일한 참조 번호는 동일한 특징를 나타낸다.
도 1a-e는 본 발명에 따른 포토리소그래피 패턴을 형성하기 위한 공정 흐름을 나타내고; 그리고
도 2-6은 실시예에 기재된 포토레지스트 조성물의 콘트라스트 커브를 제공한다.
포토레지스트 조성물
본 발명의 바람직한 포토레지스트 조성물은 네거티브 톤 현상 공정에서 초미세 패턴을 형성하기 위해 사용되는 경우 분할, 최소선폭(critical dimension, CD) 통합성, 패턴 붕괴 마진, 초점 허용도, 노광 허용도 및 광속도의 하나 이상이 종래의 포지티브 톤 포토리소그래피 기술에 비해 개선될 수 있다. 본 원에 기술된 조성물은 건식 리소그래피 또는 침지 리소그래피 공정에서 네거티브 톤 현상에 사용될 수 있다.
A. 매트릭스 폴리머
포토레지스트 조성물은 매트릭스 폴리머를 포함한다. 매트릭스 폴리머는 포토레지스트 조성물 층의 일부로서, 소프트베이킹, 활성화 조사선 노광 및 노광후 베이킹에 이어서 포토애시드 발생제로부터 발생된 산과의 반응 결과 유기 현상제에서 용해도 변화를 겪게 된다. 매트릭스 폴리머는 소정 두께의 균일한 코팅을 제공하기에 충분한 양으로 레지스트 조성물에 존재한다. 전형적으로, 매트릭스 폴리머는 조성물 내에 레지스트 조성물의 총 고체 기준으로 70 내지 95 wt%의 양으로 존재한다.
본 매트릭스 폴리머는 다음 화학식 (I)의 모노머로부터 형성된 제 1 단위를 포함한다:
Figure pat00002
상기 식에서, R1은 수소 또는 C1 내지 C3 알킬 그룹, 바람직하게는 수소 또는 메틸을 나타내고; a는 1 내지 3의 정수, 바람직하게는 1을 나타내고; 그리고 b는 0 또는 1, 바람직하게는 1이다. 본 원 및 청구범위의 목적상 본 원에 정의된 각종 R1은 임의로 치환될 수 있으며, 이는 하나 이상의 수소 원자가 다른 원자, 예컨대 할로겐, 예를 들면, 불소로 대체될 수 있음을 의미한다. 매트릭스 폴리머에서 이러한 단위의 존재는 유기 용매 현상제에서 매트릭스 폴리머의 용해도를 증진시킬 수 있다. 결과적으로, 포토레지스트 조성물의 비노광 부분은 현상 동안 완전히 제거될 수 있고, 이에 따라, 형성된 레지시트 패턴에서 브리지 결합의 존재를 최소화 또는 방지된다. 폴리머에서 제 1 단위의 함량은 폴리머를 이루는 상이한 단위의 수 및 타입에 따라 달라지며, 전형적으로는 30 내지 60 mol%이다.
화학식 (I)의 적절한 모노머는, 예를 들어, 다음을 포함하지만, 이들로 제한되는 것은 아니다:
Figure pat00003
Figure pat00004
Figure pat00005
Figure pat00006
이들 중에서 모노머 단위 M-15 및 M-18이 바람직하다.
화학식 (I)의 단위를 형성하는 데 사용되는 모노머는 공지의 기술을 사용하여 합성할 수 있다. 적절한 방법에는 다음 공정 (a)로 나타낸 것과 같은 표준 SN2 친핵성 치환 기전에 따른 것을 포함한다:
Figure pat00007
상기 식에서, R1, a 및 b는 위에 정의된 바와 같다. 이 합성에서, (알킬)아크릴산은 시판되는 화합물이고 아다만탄올 유도체는 공지 기술, 예를 들어 다음 공정 (b) 또는 (c)에 따라 합성할 수 있다:
Figure pat00008
상기 식에서, a 및 b는 위에 정의된 바와 같다. 공정 (b)에서, b=1일 때, 1급 알콜 그룹은 아다만탄 링에 결합된 하이드록실 그룹 보다 유의성 있게 더 높은 반응 활성을 갖는다. 결과적으로, 반응은 양호한 선택성을 갖고 부산물을 제거하기 위한 대규모 정제가 전형적으로 요구되지 않는다. 그러나. 공정 (c)에서, 아다만탄 링의 2급 알콜 그룹의 추가적 전-보호가 사용되고 보호기는 치환 반응 후에 제거된다. 화학식 (I)의 특정 모노머 단위의 제조를 위한 예시적인 방법은 이하의 실시예에서 추가로 기술된다.
매트릭스 폴리머는 하나 이상의 추가의 모노머로부터 형성된 단위, 예를 들어 제 1 단위와 다른 하나 둘, 셋, 넷 또는 그 이상의 추가의 단위를 추가로 포함한다. 전형적으로, 추가의 단위는 제 1 단위의 모노머로서 (메트)아크릴레이트 폴리머 형성 가능한 그룹을 포함할 것이지만, 다른 폴리머 형성 가능한 그룹, 예를 들어 비닐 및 노르보르넨과 같은 비-방향족 사이클릭 올레핀(내향고리 이중 결합)을 포함할 수 있다. 폴리머는, 예를 들어 제 1 단위와 다른 화학식 (I)의 모노머로부터 형성된 하나 이상의 추가의 단위를 포함할 수 있다.
포토레지스트의 노광 영역에서 극성의 변화를 허용하기 위해, 매트릭스 폴리머는 바람직하게는 산 불안정성 잔기를 갖는 모노머 단위를 포함한다. 적절한 산 불안정성 잔기는, 예를 들어 산-불안정성 (알킬)아크릴레이트 단위, 예를 들어 t-부틸(메트)아크릴레이트와 같은 3급 비-사이클릭 알킬 탄소, 또는 메틸아다만틸(메트)아크릴레이트 및 에틸펜실(메트)아크릴레이트와 같은 3급 알리사이클릭 탄소를 포함하는 에스테르 그룹, 및 2-메틸-아크릴산 2-(1-에톡시-에톡시)-에틸 에스테르, 2-메틸-아크릴산 2-에톡시메톡시-에틸 에스테르, 2-메틸-아크릴산 2-메톡시메톡시-에틸 에스테르, 2-(1-에톡시-에톡시)-6-비닐-나프탈렌, 2-에톡시메톡시-6-비닐-나프탈렌 및 2-메톡시메톡시-6-비닐-나프탈렌과 같은 다른 비-사이클릭 알킬 및 알리사이클릭(알킬)아크릴레이트를 포함하는 단위를 포함한다. 이러한 잔기는, 발생된 산의 작용에 의한 화학 선 또는 조사선(actinic ray or radiation) 조사시, 표준 포지티브 톤 현상 현상제, 예를 들어 물 중 2.38% 테트라메틸 암모늄 하이드록사이드(TMAH)에 더욱 가용성으로 되고, 본 원에 기술된 NTD 현상제, 예를 들어 2-헵탄 또는 n-부틸아세테이트에서 덜 가용성으로 되는 특성을 포토레지스트 조성물의 수지에 부여할 수 있다. 이러한 폴리머는 예를 들어 미국특허 제6,057,083호, 유럽특허출원공개 제EP01008913A1 및 EP00930542A1, 그리고 미국특허 제6,136,501호에 기술되어 있다. 다른 적절한 산-불안정성 (알킬)아크릴레이트 단위는 예를 들어 다음과 같은 하나 이상의 사이클릭 아세탈 잔기를 포함하는 모노머로부터 형성되는 것을 포함한다:
Figure pat00009
Figure pat00010
Figure pat00011
Figure pat00012
Figure pat00013
Figure pat00014
Figure pat00015
Figure pat00016
Figure pat00017
Figure pat00018
Figure pat00019
Figure pat00020
Figure pat00021
Figure pat00022
Figure pat00023
Figure pat00024
상기 식에서, R1은 위에서 정의한 바와 같다. 산-불안정성 그룹-포함 단위는 전형적으로 매트릭스 폴리머 중에 30 내지 60 mol%의 양으로 존재한다.
본 폴리머는 바람직하게는 락톤 그룹을 포함하는 모노머로부터 형성된 단위를 추가로 포함한다. 락톤 그룹-포함 단위는 사용시 전형적으로 폴리머 중에 20 내지 60 mol%의 양으로 존재한다. 이러한 락톤 잔기로 적절한 것은 본 분야에 공지되어 있고, 예를 들어 다음 화학식의 것들을 포함한다:
Figure pat00025
상기 식에서, R1은 위 화학식 (I)에서 정의한 바와 같이, 수소 및 C1 내지 C3 알킬로부터 선택되고, 바람직하게는 수소 또는 메틸이다. 제 2 단위로 적절한 모노머는 시판되고/되거나 공지의 기술을 사용하여 합성할 수 있다.
폴리머에 적합한 다른 추가의 모노머 단위는, 예를 들어 2-메틸-아크릴산 테트라하이드로-푸란-3-일 에스테르, 2-메틸-아크릴산 2-옥소-테트라하이드로-푸란-3-일 에스테르, 2-메틸-아크릴산 5-옥소-테트라하이드로-푸란-3-일 에스테르, 2-메틸-아크릴산 3-옥소-4,10-디옥사-트리사이클로 [5.2.1.02,6] 데크-8-일 에스테르, 2-메틸-아크릴산 3-옥소-4-옥사-트리사이클로 [5.2.1.02,6] 데크-8-일 에스테르, 2-메틸-아크릴산 5-옥소-4-옥사-트리사이클로 [4.2.1.03,7] 논-2-일옥시카보닐메틸 에스테르, 아크릴산 3-옥소-4-옥사-트리사이클로 [5.2.1.02,6] 데크-8-일 에스테르, 2-메틸-아크릴산 5-옥소-4-옥사-트리사이클로 [4.2.1.03,7] 논-2-일 에스테르, 및 2-메틸-아크릴산 테트라하이드로-푸란-3-일 에스테르와 같은 에스테르를 포함하는 모노머 단위; 및 알콜 및 2-메틸-아크릴산 3-하이드록시-아다만탄-1-일 에스테르, 2-메틸-아크릴산 2-하이드록시-에틸 에스테르, 6-비닐-나프탈렌-2-올, 2-메틸-아크릴산 3,5-디하이드록시-아다만탄-1-일 에스테르, 2-메틸-아크릴산 6-(3,3,3-트리플루오로-2-하이드록시-2-트리플루오로메틸-프로필)-바이사이클로 [2.2.1] 헵트-2-일, 및 2-바이사이클로 [2.2.1] 헵트-5-엔-2-일메틸-1,1,1,3,3,3-헥사플루오로-프로판-2-올과 같은 플루오르화 알콜과 같은 극성 그룹을 갖는 모노머 단위; 및 알킬 에테르와 같은 에테르를 포함하는 모노머 단위를 포함한다. 이러한 추가의 단위로 적절한 모노머는 시판되고/되거나 공지의 방법을 사용하여 합성할 수 있다. 추가의 단위는 전형적으로 매트릭스 폴리머 중에 40 내지 70 mol%의 양으로 존재한다.
193 ㎚와 같이 200 ㎚ 아래 파장에서의 이미지화를 위해, 폴리머는 전형적으로 페닐, 벤질 또는 다른 방향족 그룹이 실질적으로 존재하지 않는데(예를 들어 15 mol% 미만), 이러한 그룹들은 방사선의 흡수가 높다. 폴리머는 헤테로 원자, 특히 산소 및/또는 황을 포함하는 반복 단위, 예를 들어 폴리머 백본에 융합된 헤테로알리사이클릭 단위; 노르보르넨 그룹의 중합에 의해 제공되는 것과 같은 융합된 탄소 알리사이클릭 단위; 및 예를 들어 하이드록시 나프틸 그룹과 같은 하나 이상의 헤테로-원자-포함(예를 들어, 산소 또는 황) 그룹으로 치환된 카보사이클릭 아릴 단위로부터 선택된 하나 이상을 포함하는 반복 단위를 포함할 수 있다.
본 발명의 네거티브 톤 현상 방법에 사용할 수 있는 바람직한 폴리머는 예를 들어 다음을 포함한다:
Figure pat00026
Figure pat00027
본 발명의 폴리머의 중량평균분자량 Mw은 전형적으로 100,000 미만, 예를 들어, 5,000 내지 50,000, 더욱 전형적으로 6,000 내지 30,000 또는 8,000 내지 20,000이다.
적합한 폴리머는 상업적으로 입수가능한 출발물질을 사용하여 공지 방법에 따라 당업자들이 용이하게 제조할 수 있다. 폴리머는, 예를 들어, 먼저 중합성 그룹-함유 모노머를 적합한 유기 용매, 예를 들어, 테트라하이드로푸란, 디옥산, 에틸 아세테이트, 디메틸 포름아미드, 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA), 메틸렌 클로라이드, 클로로포름, 아세톤, 메틸 에틸 케톤 등에 용해시킨 후 탈기시켜 합성할 수 있다. 래디컬 개시제를 모노머 용해에 사용된 것과 동일하거나 상이한 적합한 용매에 용해시킨 후, 모노머 용액에 첨가할 수 있다. 적합한 래디컬 개시제는, 예를 들어, 2,2'-아조비스이소부티로니트릴 (AIBN), 디메틸 2,2'-아조비스(2-메틸프로피오네이트)(VazoTM 601, DuPont), 2,2'-아조비스(2,4-디메틸)발레로니트릴 (VazoTM 52, DuPont) 및 2,2-아조비스(2-메틸부탄니트릴) (VazoTM 67, DuPont)을 포함한다. 반응 용기에 모노머 용액에 사용된 것과 동일하거나 상이한 용매를 채우고, 40 내지 140 ℃, 전형적으로 70 내지 80 ℃의 온도로 가열한다. 이어, 개시제 용액을 반응 용기에 첨가하고, 모노머 용액을 용기에 적가할 수 있다. 반응 혼합물을 냉각시킨 다음, 급속 교반 비-용매에 천천히 첨가하여 침전시킬 수 있다. 적합한 비-용매는, 예를 들어, 물, 알콜, 알칸, 에테르 및 이들의 조합물을 포함한다. 폴리머를 모으고, 임의로 소량의 비-용매로 세척한 후, 건조시킨다. 추가 정제를 위해, 폴리머를 적합한 용매에 재용해시킨 다음, 침전시키고, 건조시킬 수 있다.
B. 포토애시드 발생제
감광성 조성물은 활성화 조사선에 노광시 조성물의 코팅층에 잠상(latent image)을 생성하기에 충분한 양으로 사용되는 포토애시드 발생제(PAG) 같은 광활성성분을 추가로 포함한다. 예를 들면, 포토애시드 발생제는 포토레지스트 조성물의 총 고체 함량의 약 1 내지 20 wt%의 양으로 적절히 존재할 것이다. 전형적으로, 화학증폭형 레지스트에는 비화학증폭형 레지스트에 비해서 PAG 양이 더 적은 것이 적합할 것이다.
적합한 PAG는 화학증폭형 포토레지스트 업계에 공지되었으며, 예를 들어 오늄염, 예를 들면, 트리페닐설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트; 니트로벤질 유도체, 예를 들어, 2-니트로벤질-p-톨루엔설포네이트, 2,6-디니트로벤질-p-톨루엔설포네이트, 및 2,4-디니트로벤질-p-톨루엔설포네이트; 설폰산 에스테르, 예를 들어, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들어, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄; 글리옥심 유도체, 예를 들어, 비스-O-(p-톨루엔설포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄설포닐)-α-디메틸글리옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들어, N-하이드록시숙신이미드 메탄설폰산 에스테르, N-하이드록시숙신이미드 트리플루오로메탄설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들어, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진을 포함한다. 하나 이상의 상기 PAG가 사용될 수 있다.
C. 용매
포토레지스트 조성물에 적합한 용매로는, 예를 들어 2-메톡시에틸 에테르(디글림), 에틸렌 글리콜 모노메틸 에테르 및 프로필렌 글리콜 모노메틸 에테르와 같은 글리콜 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 메틸 락테이트 및 에틸 락테이트와 같은 락테이트; 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸 2-하이드록시 이소부티레이트와 같은 프로피오네이트; 메틸 셀로솔브 아세테이트와 같은 셀로솔브(Cellosolve) 에스테르; 톨루엔 및 크실렌과 같은 방향족 탄화수소; 및 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논과 같은 케톤이 포함된다. 상술된 2, 3 또는 그 이상의 용매의 용매 블렌드도 적합하다. 용매는 전형적으로, 포토레지스트 조성물의 총 중량의 90 내지 99 wt%, 더욱 전형적으로 95 내지 98 wt%의 양으로 조성물중에 존재한다.
D. 기타 성분
포토레지스트 조성물은 또한 기타 임의 물질을 포함할 수 있다. 예를 들어, 조성물은 화학선 염료, 조영제, 줄무늬 방지제(anti-striation agent), 가소제, 속도 증강제(speed enhancer), 감광제 등중의 하나 이상을 포함한다. 이러한 임의의 첨가제는 사용될 경우, 전형적으로 낮은 농도, 예컨대 포토레지스트 조성물의 총 고체를 기준으로 0.1 내지 10 wt%의 양으로 포토레지스트 조성물 내에 존재할 것이다.
본 발명의 레지스트 조성물에 바람직한 임의 첨가제는 첨가 염기로서, 예를 들면, 현상 레지스트 릴리프 이미지의 해상도를 높일 수 있는 카프로락탐이다. 그밖의 적합한 염기성 첨가제로는 알킬 아민, 예컨대 트리프로필아민 및 도데실아민, 아릴 아민, 예컨대 디페닐아민, 트리페닐아민, 아미노페놀, 2-(4-아미노페닐)-2-(4-하이드록시페닐)프로판 등을 들 수 있다. 첨가 염기는 비교적 소량, 예를 들어, 포토레지스트 조성물의 총 고체를 기준으로 0.01 내지 5 wt%, 바람직하게는 0.1 내지 2 wt%의 양으로 적절히 사용된다.
레지스트 층상에 톱코트(top-coat) 층이 필요없어 표면활성 폴리머가 임의로 침지 리소그래피 공정을 단순화하기 위해 포토레지스트 제제내 첨가제로 사용될 수 있다. 톱코트 층은 전형적으로 레지스트 성분, 예컨대 포토애시드 발생제가 이미지화 렌즈 표면을 오염시키지 못하도록 사용된다. 포토레지스트 제제에 첨가되는 표면활성 폴리머 첨가제는 비교적 낮은 표면 자유 에너지로 해서 코팅 공정동안 표면으로 이동한다. 표면활성 폴리머 첨가제는 표면활성 폴리머가 표면으로 이동하도록 폴리머보다 표면 자유 에너지가 낮아야 한다. 표면활성 폴리머 첨가제의 전형적인 표면 자유 에너지는 10 내지 40 mJ/m2이다. 적합한 표면활성 폴리머는 당업계에 알려져 있으며, 예를 들어, [Tsibouklis and Nevell (Advanced Materials, 2003, 15, pp.647-650)]에 개시된 것을 들 수 있다. 적합한 폴리머 첨가제의 예로는 폴리(n-부틸 아크릴레이트), 폴리(n-부틸 메타크릴레이트), 폴리(i-부틸 아크릴레이트), 폴리(i-부틸 메타크릴레이트), 폴리(디에틸 실록산), 폴리(비닐 부티레이트), 폴리테트라하이드로푸란, 폴리(프로필렌 글리콜), 폴리(테트라메틸렌 옥사이드) 및 불소화 폴리머를 들 수 있다. 하나 이상의 첨가제 폴리머는 전형적으로 포토레지스트 조성물중에 비교적 소량이지만 여전히 효과적인 결과를 제공하는 양으로 존재할 수 있다. 첨가제 폴리머의 함량은, 예를 들어, 리소그래피가 건식 또는 침지형 공정인지에 따라 달라진다. 예를 들어, 침지 리소그래피에 대한 첨가제 폴리머의 하한은 일반적으로 레지스트 성분의 누출 예방 필요성에 따라 달라진다. 고도의 첨가제 폴리머 함량은 전형적으로 패턴 붕괴로 이어진다. 하나 이상의 폴리머 첨가제는 전형적으로 본 발명의 조성물중에 포토레지스트 조성물의 총 고체를 기준으로 0.1 내지 10 wt%, 더욱 전형적으로는 1 내지 5 wt%의 양으로 존재한다. 첨가제 폴리머의 중량평균분자량은 전형적으로 400,000 미만, 예를 들어 5,000 내지 50,000이다.
포토레지스트 조성물의 제조
포토레지스트는 공지된 방법에 따라 일반적으로 제조된다. 예를 들어, 본 발명의 포토레지스트 조성물은 포토레지스트 성분을 용매 성분에 용해시킴으로써 제조될 수 있다. 바람직한 포토레지스트의 총 고체 함량은 조성물내 특정 폴리머, 최종층 두께 및 노과 파장과 같은 인자에 따라 달라질 것이다. 전형적으로, 포토레지스트의 고체 함량은 포토레지스트 조성물의 총중량을 기준으로 약 1 내지 10 wt%, 더욱 전형적으로는 약 2 내지 5 wt%로 변한다.
본 발명의 포토레지스트 조성물은 특히 후술하는 바와 같은 네거티브-톤 현상 공정에 응용될 수 있으나, 포토레지스트층의 노광 부분이 현상제 용액에서 제거되는 포지티브-톤 현상에도 이용될 수 있다.
네거티브 톤 현상법
본 발명의 방법을 네거티브 톤 현상으로 포토리소그래피 패턴을 형성하기 위한 예시 공정 흐름도를 나타내는 도 1A-E를 참조하여 설명하였다. 도 1A는 다양한 층 및 피처를 포함할 수 있는 기판(100)의 횡단면을 도시한 것이다. 기판은 예컨대 실리콘 또는 화합물 반도체(예를 들어, III-V 또는 II-VI)와 같은 반도체, 유리, 석영, 세라믹, 구리 등과 같은 물질일 수 있다. 전형적으로, 기판은 단결정 실리콘 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이며, 그의 표면상에 형성된 하나 이상의 층 및 패턴화된 피처를 가질 수 있다. 패턴화될 하나 이상의 층(102)이 기판(100) 위에 제공될 수 있다. 임의로는, 예를 들어 기판 물질 내에 트렌치(trenches)를 형성하고자 할 경우, 하부 베이스 기판 물질 자체도 패턴화될 수 있다. 베이스 기판 물질 자체를 패터닝할 경우, 패턴은 기판의 층 내에 형성될 것으로 판단된다.
상기 층은, 하나 이상의 전도층, 예컨대 알루미늄층, 구리층, 몰리브덴층, 탄탈륨층, 티타늄층, 텅스텐층, 이들 금속의 합금층, 니트라이드층 또는 실리사이드층(silicides), 도핑된 무정형 실리콘층 또는 도핑된 폴리실리콘층, 하나 이상의 유전체층, 예컨대 실리콘 옥사이드층, 실리콘 니트라이드층, 실리콘 옥시니트라이드층, 또는 금속 옥사이드층, 단결정 실리콘과 같은 반도체층 및 이들의 조합을 포함할 수 있다. 패턴화될 층은 플라즈마-증강 CVD, 저압력 CVD 또는 에피택셜 성장과 같은 화학 증착법(CVD); 스퍼터링 또는 증발과 같은 물리적 증착법(PVD); 또는 전기도금법 등과 같은 다양한 기술로 형성될 수 있다. 에칭될 하나 이상의 층(102)의 특정 두께는 형성될 물질 및 디바이스에 따라 변경될 것이다.
에칭될 특정 층, 필름 두께 및 사용될 포토리소그래피 물질 및 방법에 따라, 층(102) 위에 하드 마스크층(104) 및/또는 바닥 반사방지 코팅(BARC; 106)을 배치하고 그 위에 포토레지스트층(108)이 코팅되는 것이 필요할 수 있다. 하드마스크층(104)은, 예를 들면, 매우 얇은 레지스트층과 함께 에칭될 층이 상당한 에칭 깊이를 필요로 하고/하거나 특정 부식제가 레지스트 선택성이 낮은 경우, 그 사용이 필요할 수 있다. 하드마스크층이 사용되는 경우, 형성되는 레지스트 패턴은 하드마스크층으로 이송될 수 있으며, 이는 차례로 하부층(102)을 에칭하는 마스크로 사용될 수 있다. 적합한 하드마스크 물질 및 형성 방법은 본 기술분야에 알려져 있다. 대표적인 물질은, 예를 들면, 텅스텐, 티타늄, 티타늄 니트라이드, 티타늄 옥사이드, 지르코늄 옥사이드, 알루미늄 옥사이드, 알루미늄 옥시니트라이드, 하프늄 옥사이드, 무정형 카본, 실리콘 옥시니트라이드 및 실리콘 니트라이드를 포함한다. 하드마스크층(104)은 단일 또는 복수의 상이한 물질층을 포함할 수 있다. 하드마스크층은 예를 들면, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
기판 및/또는 하부층이 포토레지스트 노광 동안 입사광선의 상당량을 반사하여 형성되는 패턴의 품질에 유해한 영향을 줄 수 있는 경우, 바닥 반사방지 코팅(106)이 필요할 수 있다. 이러한 코팅은 초점 심도, 노출 허용도, 라인폭의 균일성 및 CD 조절을 개선할 수 있다. 반사방지 코팅은 일반적으로 레지스트가 심자외선(300 nm 이하), 예를 들면 KrF 엑시머 레이저광(248 nm), ArF 엑시머 레이저광(193 nm)에 노광될 경우 사용된다. 반사방지 코팅(106)은 단일 또는 복수의 상이한 층을 포함할 수 있다. 적합한 반사방지 물질과 제조방법은 당분야에 알려져 있다. 반사방지 물질은, 예를 들면 Rohm and Haas Electronic Materials LLC (Marlborough, MA USA)가 ARTM 상표로 판매하는 제품을 구입할 수 있으며, 예를 들면 ARTM40A 및 ARTM124 반사방지 물질 등이 있다.
본 원에 기술된 포토레지스트 조성물을 반사방지층(106)(존재한다면) 위의 기판에 적용하여 포토레지스트층(108)을 형성한다. 포토레지스트 조성물을 스핀 코팅, 딥핑, 롤러 코팅 또는 다른 일반적인 코팅방법에 의해 기판에 적용할 수 있다. 이들 중에서 스핀 코팅이 전형적이다. 스핀 코팅에 있어서, 코팅 용액 중의 고체 함량을 조절하여 사용되는 특정한 코팅 장치, 용액의 점도, 코팅 장비의 속도 및 스피닝에 허용되는 시간에 따라 원하는 필름 두께를 제공할 수 있다. 포토레지스트층(108)의 전형적인 두께는 약 500 내지 3000 Å이다.
다음으로, 포토레지스트층을 소프트베이킹하여 층 내의 용매 함량을 최소화하고, 지촉건조(tack-free) 코팅을 형성하여 기판에 대한 층의 점착을 개선한다. 소프트베이킹은 핫플레이트 상에서 또는 오븐에서 수행할 수 있으며, 핫플레이트가 전형적이다. 소프트베이킹 시간과 온도는, 예를 들면 포토레지스트의 미립자 물질 및 두께에 따라 달라질 수 있다. 전형적인 소프트베이킹은 약 90 내지 150 ℃의 온도에서 약 30 내지 90 초동안 수행한다.
포토레지스트층(108)은 제1 포토마스크(112)를 통해 활성 조사선 (110)에 노광되어 노광된 영역과 노광되지 않은 영역 사이에서 용해도 차를 생성한다. 여기에서 조성물을 활성화하는 조사선에 포토레지스트 조성물을 노광한다는 것은 조사선이 포토레지스트 조성물에서 잠상을 형성할 수 있음을 나타낸다. 포토마스크는 예시된 바와 같은 포지티브 활성 물질의 후속 현상 단계에서 각각 남는 것과 제거되는 레지스트층의 영역에 해당하는 광학적으로 투명한 영역과 광학적으로 불투명한 영역(113, 114)을 가진다. 노광 파장은 전형적으로 서브-400 nm, 서브-300 nm 또는 서브-200 nm이며, 248 nm 및 193 nm가 전형적이다. 이 방법은 침지 또는 건식(비침지) 리소그래피 방법에 사용될 수 있다. 노광 에너지는 노광 장비 및 광감성 조성물의 성분에 따라 약 10 내지 80 mJ/cm2이다.
도 1B에 나타낸 바와 같이, 노광된 레지스트층은 노광되지 않은 영역과 노광된 영역(108a, 108b)으로 구성된다. 포토레지스트층(108)을 노광한 후, 노광후 베이킹(PEB)을 수행한다. PEB는, 예를 들면 핫플레이트 또는 오븐에서 수행할 수 있다. PEB의 조건은, 예를 들면 특정 포토레지스트 조성물 및 층 두께에 따라 달라질 수 있다. PEB는 전형적으로 약 80 내지 150 ℃의 온도에서 30 내지 90 초동안 수행한다.
다음으로, 노광된 포토레지스트층을 현상하여 도 1C에 나타낸 바와 같이 노광되지 않은 영역(108a)을 제거하고, 노광된 영역(108b)을 남겨 레지스트 패턴을 형성한다. 현상제는 전형적으로 유기 현상제, 예를 들면 케톤, 에스테르, 에테르, 탄화수소 및 이들의 혼합물에서 선택되는 용매이다. 적합한 케톤 용매는, 예를 들면 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤 등이다. 적합한 에스테르 용매는, 예를 들면 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트 등이다. 적합한 에테르 용매는, 예를 들면 디옥산, 테트라하이드로푸란 및 글리콜 에테르 용매, 예를 들면 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올 등이다. 적합한 아미드 용매는, 예를 들면 N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드 등이다. 적합한 탄화수소 용매는, 예를 들면 방향족 탄화수소 용매, 예를 들면 톨루엔, 크실렌 등이다. 또한 이 용매들의 혼합물, 또는 1 이상의 열거된 용매와 상기한 용매 이외의 용매 또는 물과의 혼합물을 사용할 수 있다. 이들 중에서 2-헵타논과 5-메틸-2-헥사논이 특히 바람직하다. 다른 적합한 용매로는 포토레지스트 조성물에 사용되는 용매를 사용할 수 있다.
용매는 실질적으로 순수물로서, 예를 들면 현상제의 총 중량에 대하여 95 wt% 초과, 98 wt% 초과 또는 99 wt%를 초과하는 양으로 존재할 수 있다. 용매의 혼합물을 현상제에서 사용하는 경우에 용매의 끓는점은 바람직하게 유사하다. 현상제의 용매는 전형적으로 현상제의 총 중량에 대하여 50 wt% 내지 100 wt%, 더욱 전형적으로 80 wt% 내지 100 wt%의 양으로 존재한다.
현상제 물질은 임의의 첨가제, 예를 들면 포토레지스트와 관련하여 상기한 바와 같은 계면활성제 등을 포함할 수 있다. 이러한 임의의 첨가제는 전형적으로 미량 농도로 존재할 수 있으며, 예를 들면 현상제의 총 중량에 대하여 약 0.01 내지 5 wt%의 양으로 존재한다.
현상제는 공지된 방법, 예를 들면 스핀 코팅 또는 퍼들(puddle) 코팅 등에 의해 기판에 적용할 수 있다. 현상시간은 포토레지스트의 노광되지 않은 영역을 제거하는데 효과적인 시간 동안이며, 5 내지 30초가 전형적이다. 현상은 전형적으로 실온에서 수행한다. 현상 과정은 현상 후에 세정 린스의 사용없이 수행할 수 있다. 이와 관련하여, 현상 과정은 잔사가 없는 웨이퍼 표면을 생성하여 추가의 린스 단계를 필요로 하지 않는 것이 확실하다.
BARC층(106)은, 존재할 경우, 에칭 마스크로서 레지스트 패턴(108b)을 사용하여 선택적으로 에칭하여 하부 하드마스크층(104)을 노출한다. 이어서, 하드마스크층을 다시 에칭 마스크로서 레지스트 패턴(108b)을 사용하여 선택적으로 에칭하여, 도 1D에 나타낸 바와 같이 패턴화된 BARC와 하드마스크층(106', 104')을 생성한다. BARC층과 하드마스크층을 에칭하는 적합한 에칭 기술과 화학적 방법은 당업계에 알려져 있으며, 예를 들면 이러한 층들의 특정 물질에 따라 달라질 것이다. 반응성 이온 에칭과 같은 건식 에칭 방법이 전형적이다. 다음으로, 레지스트 패턴(108b)과 패턴화된 BARC층(106')을 공지된 방법, 예를 들면 산소 플라즈마 애슁(ashing)을 사용하여 기판에서 제거한다.
에칭 마스크로서 하드마스크 패턴(104')을 사용하여, 1 이상의 층(102)을 선택적으로 에칭한다. 하위층(102)을 에칭하기에 적합한 에칭 기술과 화학적 방법은 당업계에 알려져 있으며, 반응성 이온 에칭과 같은 건식 에칭 방법이 전형적이다. 패턴화된 하드마스크층(104')은 종래의 방법, 예를 들면 반응성 이온 에칭과 같은 건식 에칭 방법을 사용하여 기판 표면에서 제거할 수 있다. 생성된 구조는 도 1E에 나타낸 바와 같이 에칭된 피처(feature)(102')의 패턴이다. 예시적인 대안 방법에 있어서, 층(102)을 레지스트 패턴(108b)을 사용하여 하드마스크층(104) 없이 직접 패턴화하는 것이 바람직하다. 직접 패턴화를 적용할 것인지는 관련 물질, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수 등의 인자에 따라 결정된다.
본 발명의 네거티브 톤 현상방법은 상기한 예시적인 방법에 제한되지 않는다. 예를 들면, 여기에 기술된 포토레지스트 조성물은 접촉홀을 제조하기 위한 네거티브 톤 현상 이중 노광법에서도 사용될 수 있다. 이러한 예시적인 방법은 도 1을 참조로 하여 기술된 방법의 변형이지만, 제1 노광과는 상이한 패턴으로 포토레지스트층의 추가 노광을 사용한다. 이 공정에서는, 포토레지스트층을 제1 노광 단계에서 화학 조사선에 포토마스크를 통해 노광시킨다. 포토마스크는 마스크의 불투명 영역을 형성하는 일련의 평행선을 포함한다. 제1 노광 후에, 포토레지스트층의 제2 노광을 제1 포토마스크의 선들과 수직한 방향의 일련의 선들을 포함하는 제2 포토마스크를 통해 수행된다. 얻어진 포토레지스트층은 비노광 영역, 1회 노광된 영역 및 2회 노광된 영역을 포함한다.
2차 노광 후에, 포토레지스트층을 노광후 베이킹하고 상기한 바와 같은 현상제를 사용하여 현상한다. 두 마스크 선의 교차점에 해당하는 비노광 영역을 제거하고 레지스트의 1회 및 2회 노광 영역을 남긴다. 생성된 구조를 상기한 바와 같이 패턴화할 수 있으며, 도 1을 참조한다. 이 방법은 특히 전자 디바이스 제조시 접촉홀 형성에 적합하다.
실시예
이하의 실시예에서 사용된 모노머와 약자들은 다음과 같다:
Figure pat00028

모노머 합성
실시예 1: 2-((3- 하이드록시아다만탄 -1-일) 메톡시 )-2- 옥소에틸 메타크릴레이트 ( EHAMA )
3-(하이드록시메틸)아다만탄-1-올 (120.0 g, 0.66 mol) (Aldrich Chemicals) 과 트리에틸 아민(303.3 g, 3.0 mol)을 실온에서 디클로로메탄(1200 mL)에 서서히 혼합하였다. 이 용액을 교반하면서 0 ℃로 냉각하였다. 반응 혼합물에 2-클로로아세틸 클로라이드(75.0 g, 0.66 mol)를 30분 동안 적가하였다. 이 용액을 실온에서 밤새 격렬하게 교반하고, 얻어진 용액을 여과하였다. 유기층을 고순도수(3 x 200 mL)로 세척하여 무수 MgSO4로 건조시켰다. 얻어진 오일을 실온에서 3시간 동안 높은 진공(0.3 torr)으로 농축하고, -20 ℃의 냉동고에서 밤새 냉각하였다. 다음 nmr 스펙트럼 특징을 가지는 담갈색의 고체 135.5 g을 얻었다(수율 79%): 1H NMR (300 MHz) δ 1.49 (br, 4H), 1.55 (br, 2H), 1.61 (br, 2H), 1.71 (br, 4H), 2.34 (br, 2H), 3.90 (s, 2H), 4.11 (s, 2H).
얻어진 고체(60.0 g, 0.23 mol)를 트리에틸 아민 (60.0 g, 0.60 mol)과 디클로로메탄(750 mL)에 0 ℃에서 용해하였다. 메타크릴산(20.0 g, 0.23 mol)을 1시간 동안 적가하였다. 반응 혼합물을 42 ℃에서 60시간 동안 교반하였다. 얻어진 용액을 여과하였다. 유기층을 고순도수(3 x 150 mL)로 세척하였다. 1,4-하이드로퀴논(16.0 mg)을 억제제로 첨가하였다. 진공 하에서 용매를 제거하고 수조의 온도는 이 과정 동안 25 ℃ 아래로 유지하였다. 얻어진 오일을 에틸 아세테이트를 용리액으로 하여 실리카겔 플러그(30 cm x 10 cm)를 통과시켰다. 1,4-하이드로퀴논(16.0 mg)을 첨가하였다. 용매를 진공에서 제거하였다. 다음의 프로톤 nmr 스펙트럼 특징을 가지는 담갈색의 오일 66.0 g을 얻었다(수율 91%): 1H NMR (300 MHz) 1.43 (br, 4H), 1.48 (br, 2H), 1.55 (br, 2H), 1.67 (br, 4H), 2.21 (br, 2H), 3.83 (s, 2H), 4.70 (s, 2H), 5.66 (s, 1H), 6.22 (s, 1H); 13C NMR (75.5 MHz, CDCl3) δ 18.5, 30.4, 35.6, 37.2, 38.0, 44.8, 46.9, 61.1, 68.6, 73.7, 127.2, 135.6, 166.9, 168.1. 그리하여 EHAMA 모노머를 합성하였다.
실시예 2: 2-((3- 하이드록시아다만탄 -1-일) 메톡시 )-2- 옥소에틸 아크릴레이트 ( EHADA )
실시예 1에 기술된 것과 같은 담갈색 고체를 HADA 모노머 제조에서 사용하였다. 이 고체(30.0 g, 0.12 mol)를 트리에틸 아민 (24.0 g, 0.24 mol)과 디클로로메탄(500 mL)에 0 ℃에서 용해하였다. 아크릴산(8.4 g, 0.12 mol)을 15분 동안 적가하였다. 반응 혼합물을 40 ℃에서 3일 동안 교반하였다. 생성된 용액을 여과하였다. 유기층을 고순도수(3 x 50 mL)로 세척하였다. 1,4-하이드로퀴논 (8.0 mg)을 억제제로 첨가하였다. 진공 하에서 용매를 제거하고 수조의 온도는 이 과정 동안 25 ℃ 아래로 유지하였다. 얻어진 오일을 염화메틸렌을 제1 용리액으로 하여 실리카겔 플러그(30 cm x 10 cm)를 통과시켜서 유색의 불순물을 제거하였다. 에틸 아세테이트를 제2 용리액으로 사용하여 생성물을 세척하였다. 1,4-하이드로퀴논 (10.0 mg)을 첨가하고 용매를 진공에서 제거하였다. 다음 프로톤 nmr 스펙트럼 특성을 가지는 담갈색 오일 22.0 g을 얻었다(수율 64%): 1H NMR (300 MHz) 1.43 (br, 4H), 1.49 (br, 2H), 1.57 (br, 2H), 1.67 (br, 4H), 3.85 (s, 2H), 4.72 (s, 2H), 5.97 (d, 1H), 6.23 (m, 1H), 6.49 (d, 1H); 13C NMR (75.5 MHz, CDCl3) δ 30.4, 35.6, 37.2, 38.0, 44.8, 46.8, 61.0, 68.7, 73.8, 127.6, 132.7, 165.7, 168.1. 그리하여 EHAMA 모노머를 합성하였다.
매트릭스 폴리머 합성
실시예 3 (비교): 폴리 ( IPGMA / NLMA / HAMA ) (50/30/20)의 합성
IPGMA(17.307 g), NLMA(11.526 g), 및 HAMA(8.167 g)의 모노머를 55 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA (26.220 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(1.194 g)을 7.4 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1528 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 111 g의 THF에 다시 용해하고 MTBE (1528 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 A"를 얻었다(수율: 75%, Mw: 13.744 및 PDI: 1.61).
실시예 4: 폴리 ( IPGMA / NLMA / EHAMA ) (50/30/20)의 합성
IPGMA (17.529 g), NLMA (11.673 g), 및 EHAMA (10.798 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(28.626 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(1.411 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1656 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1656 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 B"를 얻었다(수율: 70%, Mw: 13.459 및 PDI: 1.63).
실시예 5 (비교): 폴리 ( ECPMA / aGBLMA / MNLMA ) (40/20/40)의 합성
ECPMA (13.313 g), aGBLMA (6.215 g), 및 MNLMA (20.472 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(32.201 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(2.943 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1718 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1718 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 C"를 얻었다(수율: 80%, Mw: 8.464 및 PDI: 1.47).
실시예 6: 폴리 ( ECPMA / aGBLMA / MNLMA / EHAMA ) (40/20/20/20)의 합성
ECPMA (12.980 g), aGBLMA (6.059 g), MNLMA (9.980 g) 및 EHAMA (10.981 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(32.030 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(2.870 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1715 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1715 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 D"를 얻었다(수율: 58%, Mw: 9.155 및 PDI: 1.40).
실시예 7 (비교): 폴리 ( MCPMA / MNLMA / HADA ) (50/30/20)의 합성
MCPMA (79.110 g), MNLMA (79.078 g), 및 HADA (41.811 g)의 모노머를 300 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(151.933 g)를 컨덴서와 기계적 교반기가 구비된 1000 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(10.828 g)을 40 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (8433 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 600 g의 THF에 다시 용해하고 MTBE (8433 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 E"를 얻었다(수율: 69%, Mw: 10,904 및 PDI: 1.51).
실시예 8 (비교): 폴리 ( MCPMA / MNLMA / HAMA ) (50/30/20)의 합성
MCPMA (78.080 g), MNLMA (78.049 g), 및 HAMA (43.871 g)의 모노머를 300 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(151.604 g)를 컨덴서와 기계적 교반기가 구비된 1000 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(10.687 g)을 40 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE)(8427 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 600 g의 THF에 다시 용해하고 MTBE(8427 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 F"를 얻었다(수율: 73%, Mw: 10,535 및 PDI: 1.46).
실시예 9 (비교): 폴리 ( IPGMA / IPGFMA / MNLMA / HAMA ) (25/25/30/20)의 합성
IPGMA (7.600 g), IPGFMA (12.460 g), MNLMA (12.765 g), 및 HAMA (7.175 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(28.596 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(1.398 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1656 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1656 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 G"를 얻었다(수율: 69%, Mw: 13,639 및 PDI: 1.58).
실시예 10: 폴리 ( MCPMA / MNLMA / EHADA ) (50/30/20)의 합성
MCPMA (11.113 g), MNLMA (11.109 g), 및 EHADA (7.778 g)의 모노머를 45 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(22.549 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(1.521 g)을 6 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1261 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 90 g의 THF에 다시 용해하고 MTBE (1261 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 H"를 얻었다(수율: 64%, Mw: 11,504 및 PDI: 1.51).
실시예 11: 폴리 ( MCPMA / MNLMA / EHAMA ) (50/30/20)의 합성
MCPMA (14.637 g), MNLMA (14.631 g), 및 EHAMA (10.732 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(30.008 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(2.0038 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1680 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1680 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 I"를 얻었다(수율: 63%, Mw: 11,211 및 PDI: 1.52).
실시예 12: 폴리 ( IPGMA / IPGMA / MNLMA / EHAMA ) (50/30/20)의 합성
IPGMA (7.205 g), IPGFMA (11.816 g), MNLMA (12.102 g), 및 EHAMA (8.877 g)의 모노머를 60 g의 PGMEA에 용해하였다. 모노머 용액을 20분 동안 질소로 폭기하여 탈기시켰다. PGMEA(28.427 g)를 컨덴서와 기계적 교반기가 구비된 500 mL 3구 플라스크에 충전하고 질소로 20분 동안 폭기하여 탈기하였다. 다음으로 반응 플라스크 중의 용매 온도가 80 ℃가 되게 하였다. V601(디메틸-2,2-아조디이소부티레이트)(1.326 g)을 8 g의 PGMEA에 용해하고 개시제 용액을 질소로 20분 동안 폭기하여 탈기하였다. 개시제 용액을 반응 플라스크에 첨가한 다음, 모노머 용액을 3 시간 동안 격렬한 교반 하의 질소 분위기에서 적가하여 반응기로 공급하였다. 모노머의 공급을 완료한 후, 폴리머화 혼합물을 다시 1시간 동안 80 ℃에서 방치하였다. 총 4시간의 폴리머화 시간(3시간의 공급 및 1시간의 공급 후 교반) 후에 폴리머화 혼합물을 실온으로 냉각하였다. 메틸 tert-부틸 에테르(MTBE) (1653 g) 중에서 침전시켰다. 침전된 분말을 여과하여 수집하고 밤새 공기 건조하여 120 g의 THF에 다시 용해하고 MTBE (1653 g)에 재침전시켰다. 최종 폴리머를 여과하고, 밤새 공기 건조한 후, 추가로 진공 하, 60 ℃에서 48시간 동안 건조하여 "폴리머 J"를 얻었다(수율: 70%, Mw: 14,619 및 PDI: 1.62).
포토레지스트 조성물 제제
실시예 13
메틸-2-하이드록시이소부티레이트에 용해된, 2 wt%의 PAG A 용액(하기 참조) 11.2g을 1.323g의 폴리머 A에 첨가하였다. PGMEA에 용해된, 1 wt%의 도데실디에탄올아민 용액 2.105g을 이 혼합물에 첨가하였다. 다음으로, PGMEA에 용해된, 1 wt%의 P(nBMA25/iBMA75) 용액 0.64g을 첨가한 후, 20.782g의 PGMEA, 1.452g의 감마 발레로 락톤(valero lactone) 및 12.498 g의 메틸-2-하이드록시이소부티레이트를 첨가하였다. 생성된 혼합물을 롤러에서 6 시간동안 롤링하고, 0.2 마이크론 기공 크기의 테프론 필터를 통해 여과하였다.
Figure pat00029

실시예 14-22
성분과 사용량이 표 1에 기재된 것을 제외하고, 폴리머 A에 대하여 상기한 것과 동일한 방법을 사용하여 다른 제제를 제조하였다.
표 1
Figure pat00030
TBOC = tert-부틸 4-하이드록시피페리딘-1-카복실레이트; DDEA = 2,2'-(도데실아자네디일)디에탄올; TB-Tris = tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카바메이트
건식 리소그래피 콘트라스트 평가
실리콘 웨이퍼를 ARTM77 하부(bottom)-반사방지 코팅 (BARC) 재료 (Rohm and Haas Electronic Materials 제품)로 스핀 코팅하고 205 ℃에서 60 초동안 베이킹하여 840 Å의 필름 두께를 얻었다. 포토레지스트 조성물을 BARC-코팅 웨이퍼 상에 코팅하고 90 ℃에서 60초 동안 TEL CleanTrack ACT 8 코팅기/현상기에서 소프트 베이킹하여 900 Å의 레지스트 층 두께를 얻었다.
이 후, 포토레지스트-코팅 웨이퍼를 블랭크 마스크를 통해서 0.75 NA 및 0.89 아우터(outer) 시그마와 0.64 이너(inner) 시그마를 갖춘 Quadrapole 30 조명 조건을 사용하여 노광시켰다. 노광은 1.0 mJ/cm2의 출발 도즈량(starting dose)으로 0.2 mJ/cm2 증량하면서 수행하여 1.0 내지 20.8 mJ/cm2의 도즈량 범위를 커버하도록 웨이퍼 상에 10x10 어레이의 100 다이(die)를 노광하였다. 노광된 웨이퍼를 100 ℃의 온도에서 60 초동안 후노광 베이킹한 다음, 2-헵타논을 사용하여 25 초동안 TEL CleanTrack ACT 8 코팅기/현상기에서 현상하였다. 상이한 노광 도즈량에 대해 잔존 필름 두께를 ThermaWave Optiprobe (KLA-Tencor)로 측정하고, 잔존 필름 두께를 노광 도즈량의 함수로서 플로팅하여 NTD 콘트라스트 곡선을 얻었다. 콘트라스트 곡선을 도 2-6에 나타내었다. 콘트라스트 곡선으로부터, 일정한 필름 두께에 이르기 위한 최소 에너지로서 각 포토레지스트 조성물에 대해 문턱 에너지 (E th)를 결정하고, NTD 처리에 대한 각 레지스트 조성물의 감광성 척도로 사용하였다. 이 데이터를 표 1에 요약하였다.
도 2에는 실시예 13과 14의 포토레지스트 조성물에 대한 콘트라스트 곡선을 제공하였으며, 여기에서는 극성 그룹 HAMA와 극성 그룹 EHAMA, 각각의 효과를 비교하였다. HAMA-함유 폴리머와 비교 실시예 13의 레지스트 조성물은 유기 현상제에서 상대적으로 낮은 용해도와 2.5 mJ/cm2E th 값을 갖는 빠른 광속도를 나타내어, 6.0 mJ/cm2E th 값을 나타내는 실시예 14의 EHAMA-함유 폴리머와 비교되었다. 도 3에는 비교 실시예 15와 실시예 16의 포토레지스트 조성물에 대한 콘트라스트 곡선을 제공하였으며, 여기에서는 극성 그룹 EHAMA를 갖는 폴리머와 갖지 않는 폴리머, 각각의 효과를 비교하였다. EHAMA 그룹이 없는 조성물은 노광 에너지 1 mJ/cm2에서도 유기 현상제에서 상대적으로 낮은 용해도를 나타내어 4.4 mJ/cm2E th 값의 매우 빠른 광속도를 나타내었다. 실시예 16의 EHAMA-함유 제제는 완전히 용해되어 7.4 mJ/cm2에서 E th 값을 나타내었다. 도 4-7에는 극성 그룹 HADA와 EHADA, 또는 HAMA와 EHAMA의 효과를 비교하는, 다른 포토레지스트 조성물에 대한 추가 콘트라스트 곡선을 제공하였다.
침지 리소그래피 방법
실시예 23 및 24
300 mm 실리콘 웨이퍼를 ARTM26N 반사방지제(Rohm and Haas Electronic Materials 제품)로 스핀 코팅하여 TEL CLEAN TRACK LITHIUS i+ 코팅기/현상기에서 제1 하부 반사방지 코팅(BARC)을 형성하였다. 웨이퍼를 240 ℃에서 60 초동안 베이킹하여 760 Å의 제1 BARC 필름 두께를 얻었다. 다음으로, 제2, 실세스퀴옥산(silsesquioxane)-함유 BARC층을 제1 BARC 상에 코팅하고 240 ℃에서 60초 동안 베이킹하여 390 Å 탑(top) BARC 층을 생성하였다. 실시예 13 및 14의 포토레지스트 제제를 이중 BARC-코팅된 웨이퍼 상에 코팅하고 90 ℃에서 60 초동안 TEL CLEAN TRACK LITHIUS i+ 코팅기/현상기로 소프트 베이킹하여 900 Å의 레지스트 층 두께를 얻었다.
포토레지스트-코팅 웨이퍼를 ASML TWINSCAN XT:1900i 침지 스캐너에서 마스크를 통해 1.35의 NA 및 0.8 아우터 시그마, 0.65 이너 시그마 및 XY 편광을 갖춘 쿼드러플(Quad) 조명을 사용하여 노광하였다. 노광된 웨이퍼를 100 ℃에서 60초 동안 후노광 베이킹한 다음, 37.5 mL의 2-헵타논 현상제를 사용하여 TEL CLEAN TRACKTM LITHIUSTMi+ 코팅기/현상기에서 현상하여 네거티브 톤 패턴을 얻었다. 임계 치수 (CD)를 Hitachi CG4000 CD SEM에서 60 nm (마스크상 불투명 원의 직경)에서 마스크 CD와 112 nm (마스크 CD + 불투명 원 사이의 거리)에서 피치 CD를 사용하여 측정하여 ~55 nm 접촉홀에 대한 각 제제의 분할능을 비교하였다. 다음 값들은 리소그래피 결과에 대해 결정되었다; 112nm 피치에서 53nm 홀을 프린트하기 위한 노광 에너지(Es); 포토레지스트의 노광 허용도(EL), 다음 식에 따른 ±10%의 타겟 CD (CDt) 내에서 노광 에너지(mJ/cm2) 당 CD 변화(ΔCD)로 정의:
EL = (1.1 × CDt―0.9 × CDt)/(1.1 × CDt의 Eop―0.9 × CDt의 Eop)
및 약 9홀(CDs)/이미지를 갖는, 하나의 다이에 20개의 상이한 이미지를 측정한 베스트 노광 및 포커스에 대한 CD 균일성(CDU), CD값에서 3 시그마 차이(variation)를 계산하여 CDU로서 나타내었다. 결과를 표 2에 요약하였다.
표 2
Figure pat00031

실시예 25 및 26
실시예 23과 24에 기술된 것과 유사한 방법을 비교 실시예 15와 실시예 16의 포토레지스트 제제에 대해 사용하였다. 임계 치수 (CD)를 Hitachi CG4000 CD SEM에서 38 nm (마스크상 라인의 너비)에서 마스크 CD와 100 nm에서 피치 CD를 사용하여 측정하여 ~55 nm 트렌치에 대한 각 제제의 분할능 및 패턴 붕괴(collpse)를 비교하였다. 112nm 피치에서 53nm 홀을 프린트하기 위한 노광 에너지(Es); 포토레지스트의 노광 허용도를 측정하였다. 또한, 패턴 붕괴 여유를 측정하였으며, 이것은 라인 붕괴 이전 가장 큰 트렌치 CD의 비교이다(거대 트렌치 또는 스페이스 밸류 = 레지스트의 양호한 패턴 붕괴 여유). 그 결과를 표 3에 요약하였다. 실시예 34의 EHAMA를 함유하는 제제는 비교 실시예 33의 비EHAMA 함유 제제보다 패턴 붕괴 여유를 개선하였다. 또한, EHAMA-함유 제제는 EHAMA가 없는 조성물의 47.6nm와 비교하여 54.6nm의 트렌치 CD를 나타내었다.
표 3
Figure pat00032
* 100 nm 피치에서 35 nm 트렌치를 프린트하기 위한 노광 에너지
실시예 27-32
300 mm 실리콘 웨이퍼를 ARTM40A 반사방지제(Rohm and Haas Electronic Materials 제품)로 스핀 코팅하여 TEL CLEAN TRACK LITHIUS i+ 코팅기/현상기에서 제1 하부 반사방지 코팅 (BARC)을 형성하였다. 웨이퍼를 215 ℃에서 60 초동안 베이킹하여 840 Å의 제1 BARC 필름 두께를 얻었다. 다음으로, 제2 BARC층을 제1 BARC 상에 ARTM124A 반사방지제(Rohm and Haas Electronic Materials 제품)를 사용하여 코팅하고 205 ℃에서 60 초동안 베이킹하여 200 Å 탑(top) BARC 층을 생성하였다. 실시예 17 내지 22의 포토레지스트 제제를 이중 BARC-코팅된 웨이퍼 상에 코팅하고 90 ℃에서 60 초동안 TEL CLEAN TRACK LITHIUS i+ 코팅기/현상기로 소프트 베이킹하여 900 Å의 레지스트 층 두께를 얻었다.
포토레지스트-코팅 웨이퍼를 ASML TWINSCAN XT:1900i 침지 스캐너에서 마스크를 통해 1.35의 NA 및 0.9 아우터 시그마, 0.7 이너 시그마 및 XY 편광을 갖춘 애뉼라 조명을 사용하여 노광하였다. 노광된 웨이퍼를 90 ℃에서 60초 동안 후노광 베이킹한 다음, 2-헵타논과 n-부틸 프로피오네이트의 50/50 블렌드를 사용하여 25 초동안 TEL CLEAN TRACKTM LITHIUSTMi+ 코팅기/현상기에서 현상하여 네거티브 톤 패턴을 얻었다. 임계 치수 (CD)를 Hitachi CG4000 CD SEM에서 60 nm (마스크상 불투명 원의 직경)에서 마스크 CD와 90 nm (마스크 CD + 불투명 원 사이의 거리)에서 피치 CD를 사용하여 측정하여 ~45 nm 접촉홀에 대한 각 제제의 분할능을 비교하였다. CD 균일성, 112nm 피치에서 53nm 홀을 프린트하기 위한 노광 에너지(Es) 및 노광 허용도를 측정하고, 그 결과를 표 4에 요약하였다.
비교 실시예 27-29와 실시예 30-32를 각각 비교하여, 각각의 HADA 또는 HAMA 대신에 EHADA 또는 EHAMA를 사용하여 얻어진 CDU 값이 개선된 것을 알 수 있다. 또한, HAMA-함유 레지스트 폴리머를 포함하는 비교 실시예 29가 45nm 접촉홀의 불량한 분할을 나타내었다. 실시예 32에서 HAMA를 EHAMA로 대체하여 SEM 이미지의 육안검사로 측정된 리소그래피 분할이 훨씬 개선되었다.
표 4
Figure pat00033
* 90 nm 피치에서 45 nm 홀을 프린트하기 위한 노광 에너지

Claims (10)

  1. (a) 패턴화될 하나 이상의 층을 포함하는 기판을 제공하는 단계;
    (b) 패턴화될 하나 이상의 층 위에 포토레지스트 조성물의 층을 도포하는 단계;
    (c) 포토레지스트 조성물 층을 화학 조사선(actinic radiation)에 패턴식(patternwise)으로 노광시키는 단계;
    (d) 노광된 포토레지스트 조성물 층을 노광후 베이킹(post-exposure bake) 공정에서 가열하는 단계; 및
    (e) 노광후 베이킹된 포토레지스트 조성물 층을 유기 용매 현상제로 현상시켜 포토레지스트 패턴을 형성하는 단계를 포함하고 포토레지스트 조성물이 다음 화학식 (I)의 단위를 포함하는 폴리머를 포함하는,
    네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법:
    Figure pat00034

    상기 식에서, R1은 수소 또는 C1 내지 C3 알킬 그룹을 나타내고; a는 1 내지 3의 정수를 나타내고; 그리고 b는 0 또는 1이다.
  2. 제1항에 있어서, 폴리머가 산 불안정성 그룹을 갖는 단위를 추가로 포함하는 방법.
  3. 제1항 또는 제2항에 있어서, 폴리머가 락톤 그룹을 포함하는 단위를 추가로 포함하는 방법.
  4. 제1항 내지 제3항중 어느 한 항에 있어서, 화학식 (I)에서 a가 1인 방법.
  5. 제1항 내지 제4항중 어느 한 항에 있어서, 화학식 (I)에서 b가 1인 방법.
  6. 제1항 내지 제5항중 어느 한 항에 있어서, R1이 수소를 나타내는 방법.
  7. 제1항 내지 제5항중 어느 한 항에 있어서, R1이 메틸을 나타내는 방법.
  8. 제1항 내지 제7항중 어느 한 항에 있어서, 포토레지스트 조성물이 폴리(C3 내지 C7 알킬 메타크릴레이트)인 제2 폴리머를 추가로 포함하는 방법.
  9. 제1항 내지 제8항중 어느 한 항에 있어서, 현상제가 2-헵타논을 포함하는 방법.
  10. 제1항 내지 제8항중 어느 한 항에 있어서, 현상제가 n-부틸 아세테이트를 포함하는 방법.
KR1020120124459A 2011-11-03 2012-11-05 네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법 KR102065932B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161555462P 2011-11-03 2011-11-03
US61/555,462 2011-11-03

Publications (2)

Publication Number Publication Date
KR20130049165A true KR20130049165A (ko) 2013-05-13
KR102065932B1 KR102065932B1 (ko) 2020-01-14

Family

ID=48204725

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120124459A KR102065932B1 (ko) 2011-11-03 2012-11-05 네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법

Country Status (5)

Country Link
US (1) US8790867B2 (ko)
JP (1) JP6118538B2 (ko)
KR (1) KR102065932B1 (ko)
CN (1) CN103091978B (ko)
TW (1) TWI477907B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180011857A (ko) * 2015-06-24 2018-02-02 도쿄엘렉트론가부시키가이샤 기판 패터닝을 위한 마스크를 형성하는 방법

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130302735A1 (en) * 2011-11-03 2013-11-14 Rohm And Haas Electronic Materials Llc Monomers, polymers and photoresist compositions
KR102233875B1 (ko) * 2013-12-30 2021-03-30 롬엔드하스전자재료코리아유한회사 광산 발생제를 포함하는 반사방지 코팅 조성물을 이용한 패턴 형성 방법
JP6267533B2 (ja) 2014-02-14 2018-01-24 信越化学工業株式会社 パターン形成方法
EP3106477B1 (en) * 2014-02-14 2018-08-29 Mitsubishi Gas Chemical Company, Inc. (meth)acrylic acid ester compound and production method therefor
US9472448B2 (en) 2014-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plug without seam hole and methods of forming the same
KR102245135B1 (ko) 2014-05-20 2021-04-28 삼성전자 주식회사 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
CN106662816B (zh) * 2014-07-08 2020-10-23 东京毅力科创株式会社 负性显影剂相容性的光致抗蚀剂组合物及使用方法
US11092894B2 (en) 2014-12-31 2021-08-17 Rohm And Haas Electronic Materials Korea Ltd. Method for forming pattern using anti-reflective coating composition comprising photoacid generator
KR102374049B1 (ko) 2015-06-02 2022-03-14 삼성전자주식회사 포토레지스트를 이용한 패턴 형성 방법
US9685507B2 (en) 2015-06-25 2017-06-20 International Business Machines Corporation FinFET devices
US10211051B2 (en) * 2015-11-13 2019-02-19 Canon Kabushiki Kaisha Method of reverse tone patterning
TWI587093B (zh) * 2016-04-11 2017-06-11 台灣積體電路製造股份有限公司 三層型光阻結構和其製造方法
CN106784398B (zh) * 2016-12-15 2019-12-03 武汉华星光电技术有限公司 Oled封装方法与oled封装结构
US10727055B2 (en) * 2017-02-10 2020-07-28 International Business Machines Corporation Method to increase the lithographic process window of extreme ultra violet negative tone development resists
JP6937648B2 (ja) * 2017-09-28 2021-09-22 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
CN107799402A (zh) * 2017-10-24 2018-03-13 德淮半导体有限公司 二次图形的形成方法
CN109679020B (zh) * 2018-12-28 2020-12-29 厦门恒坤新材料科技股份有限公司 含立方烷的丙烯酸酯系成膜树脂和ArF光刻胶及其制备方法和光刻方法
CN115699255A (zh) 2020-07-02 2023-02-03 应用材料公司 用于光刻应用的光刻胶层上的碳的选择性沉积
CN112129237B (zh) * 2020-08-17 2022-05-20 江苏大学 基于石英晶体微天平评估光刻胶光刻效率的方法
US11656550B2 (en) * 2020-09-01 2023-05-23 Tokyo Electron Limited Controlling semiconductor film thickness

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011122336A1 (ja) * 2010-03-30 2011-10-06 Jsr株式会社 感放射線性樹脂組成物およびパターン形成方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2715881B2 (ja) 1993-12-28 1998-02-18 日本電気株式会社 感光性樹脂組成物およびパターン形成方法
JP2002193895A (ja) 2000-12-27 2002-07-10 Daicel Chem Ind Ltd 環式骨格を有する3−アクリロイルオキシプロピオン酸エステル誘導体、及びアクリル酸エステル混合物
JP2002221796A (ja) 2001-01-26 2002-08-09 Fuji Photo Film Co Ltd ポジ型感光性樹脂組成物
JP4474246B2 (ja) 2003-09-19 2010-06-02 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP4622579B2 (ja) * 2004-04-23 2011-02-02 住友化学株式会社 化学増幅型ポジ型レジスト組成物及び(メタ)アクリル酸誘導体とその製法
JPWO2005108343A1 (ja) 2004-05-10 2008-03-21 出光興産株式会社 アダマンタン誘導体、その製造方法及びフォトレジスト用感光材料
JP4991326B2 (ja) * 2006-01-24 2012-08-01 富士フイルム株式会社 ポジ型感光性組成物及びそれを用いたパターン形成方法
JP4982288B2 (ja) * 2007-04-13 2012-07-25 富士フイルム株式会社 パターン形成方法
US8034547B2 (en) 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
JP5337579B2 (ja) * 2008-12-04 2013-11-06 東京応化工業株式会社 ポジ型レジスト組成物、レジストパターン形成方法
CA2808611A1 (en) 2009-08-28 2011-03-03 Inova Diagnostics, Inc. Detecting circulating cartilage oligomeric matrix protein in liver cirrhosis
JPWO2011034007A1 (ja) 2009-09-16 2013-02-14 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
JP5440468B2 (ja) * 2010-01-20 2014-03-12 信越化学工業株式会社 パターン形成方法
JP5775701B2 (ja) 2010-02-26 2015-09-09 富士フイルム株式会社 パターン形成方法及びレジスト組成物
EP2363749B1 (en) 2010-03-05 2015-08-19 Rohm and Haas Electronic Materials, L.L.C. Methods of forming photolithographic patterns
JP2011227463A (ja) * 2010-03-30 2011-11-10 Jsr Corp 感放射線性樹脂組成物およびパターン形成方法
JP5557625B2 (ja) * 2010-06-30 2014-07-23 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物並びに該組成物を用いたレジスト膜及びパターン形成方法
JP5685919B2 (ja) * 2010-12-13 2015-03-18 Jsr株式会社 感放射線性樹脂組成物及びレジストパターン形成方法
US20130302735A1 (en) 2011-11-03 2013-11-14 Rohm And Haas Electronic Materials Llc Monomers, polymers and photoresist compositions

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011122336A1 (ja) * 2010-03-30 2011-10-06 Jsr株式会社 感放射線性樹脂組成物およびパターン形成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180011857A (ko) * 2015-06-24 2018-02-02 도쿄엘렉트론가부시키가이샤 기판 패터닝을 위한 마스크를 형성하는 방법

Also Published As

Publication number Publication date
KR102065932B1 (ko) 2020-01-14
US20130115559A1 (en) 2013-05-09
JP6118538B2 (ja) 2017-04-19
TWI477907B (zh) 2015-03-21
CN103091978B (zh) 2015-01-28
US8790867B2 (en) 2014-07-29
JP2013137513A (ja) 2013-07-11
TW201327046A (zh) 2013-07-01
CN103091978A (zh) 2013-05-08

Similar Documents

Publication Publication Date Title
KR102065932B1 (ko) 네거티브 톤 현상에 의한 포토리소그래프 패턴 형성 방법
TWI654488B (zh) 光阻上塗組成物及形成電子裝置之方法
US8771917B2 (en) Monomers, polymers, photoresist compositions and methods of forming photolithographic patterns
KR101805617B1 (ko) 폴리머, 포토레지스트 조성물 및 포토리소그래피 패턴의 형성 방법
KR101838960B1 (ko) 폴리머, 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
KR101854145B1 (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
TWI556059B (zh) 光阻劑組成物及形成光微影圖案之方法
KR101904090B1 (ko) 폴리머, 포토레지스트 조성물 및 포토리소그래피 패턴의 형성 방법
KR101910832B1 (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
JP2017125200A (ja) モノマー、ポリマーおよびフォトレジスト組成物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant