KR20110098774A - 기판 처리 장치 - Google Patents

기판 처리 장치 Download PDF

Info

Publication number
KR20110098774A
KR20110098774A KR1020117015391A KR20117015391A KR20110098774A KR 20110098774 A KR20110098774 A KR 20110098774A KR 1020117015391 A KR1020117015391 A KR 1020117015391A KR 20117015391 A KR20117015391 A KR 20117015391A KR 20110098774 A KR20110098774 A KR 20110098774A
Authority
KR
South Korea
Prior art keywords
windings
transfer
transfer device
cart
propulsion
Prior art date
Application number
KR1020117015391A
Other languages
English (en)
Other versions
KR101729301B1 (ko
Inventor
마틴 호섹
크리스토퍼 호프메이스터
알렉산더 크루피쉐브
Original Assignee
브룩스 오토메이션 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브룩스 오토메이션 인코퍼레이티드 filed Critical 브룩스 오토메이션 인코퍼레이티드
Publication of KR20110098774A publication Critical patent/KR20110098774A/ko
Application granted granted Critical
Publication of KR101729301B1 publication Critical patent/KR101729301B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/12Programme-controlled manipulators characterised by positioning means for manipulator elements electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Linear Motors (AREA)

Abstract

이송 장치용 구동 시스템은 이송 장치에 연결된 복수의 영구 자석들, 복수의 영구 자석들의 적어도 하나의 장에 노출된 복수의 정지 상태의 권선들, 이송 장치에 자기력을 제공하도록 정지 상태의 권선들에 동력을 공급하는 제어 시스템; 및 이송 장치의 상승, 피치 앤드 롤의 수동적 안정성을 제공하는, 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열;을 포함한다.

Description

기판 처리 장치{Substrate processing apparatus}
[0002] 본 명세서에 설명된 실시예들과 방법들은 기판 처리 장치에 관한 것이고, 보다 상세하게는 카테시안 배치로 연결된 챔버들을 가진 기판 처리 장치에 관한 것이다.
<관련출원들에 대한 상호참조>
[0001] 본 출원은 2002년7월22일 출원된 미국임시특허출원 제60/397,895호의 이익을 주장하는 동시 계류중인 2003년7월22일 출원된 미국특허출원 제10/624,987호 의 부분계속출원(continuation in part)이고, 이 출원들은 본 명세서의 참조로서 결합된다.
[0003] 새로운 전자 장치에 대한 소비자의 요구에 영향을 미치는 인자들중 하나는 본질적으로 장치의 가격이다. 역으로, 새로운 전자 제품의 비용이, 이에 따라 가격이 낮춰질 수 있다면, 새로운 전자 제품에 대한 소비자의 요구에서 유리한 효과가 달성되는 것으로 나타날 것이다. 전자 제품에 대한 제조 비용의 상당한 부분은 전자 구성 요소들 또는 디스플레이를 제작하는데 사용되는 패널을 제조하는데 사용된 것과 같은 반도체 기판들의 제조 및 처리와 함께 시작되는 전자 부품을 제조하기 위한 비용이다. 기판들을 처리하는 비용은 처리 장치의 비용, 처리 장치가 하우징되는 시설의 비용에 의해서 부분적으로 영향을 받으며, 처리 장치의 처리량에 의해서 대부분 영향을 받는다(이것은 단위 가격에 현저한 영향을 미친다). 즉각적으로 이해될 수 있는 바로서, 처리 장치 자체의 크기는 상기 언급된 모든 인자들에 충격을 준다. 그러나, 종래의 처리 장치는 크기의 감소와 관련하여 한계에 도달하였다. 더욱이, 종래의 처리 장치는 단위체당 증가하는 처리량과 관련하여 한계에 도달한 것으로 보인다. 예를 들어, 종래의 처리 장치는 반경 방향의 처리 모듈 장치를 사용한다. 종래의 기판 처리 장치의 개략적인 평면도는 도 1 에 도시된 바와 같다. 도시된 바와 같이, 도 1 의 장치의 처리 모듈들은 처리 장치의 이송 챔버 둘레에 반경 방향으로 배치된다. 종래의 2 개축 또는 3 개축 운동 장치(예를 들어, Z, θ, T축)인 이송 장치는 처리 모듈 사이에서 기판을 이동시키도록 이송 챔버 안에서 중앙에 위치한다. 도 1로부터 이해할 수 있는 바로서, 종래의 처리 장치의 처리량은 이송 장치의 처리 속도에 의해서 제한된다. 즉, 일단 이송 장치가 처리 비율의 정점에 도달하면, 이것은 처리량에 대한 제어 인자가 되므로, 종래의 장치로써는 단순히 처리 모듈을 장치에 부가하는 것만으로 처리량이 증가될 수 없다. 개시된 실시예들의 구조와 기술들은 이후에 보다 상세하게 설명되는 바와 같이 종래 기술의 문제점들을 극복한다.
이러한 종래 기술의 문제점들을 극복하기 위하여, 본 개시는 이송 장치에 연결된 복수의 영구 자석들, 상기 복수의 영구 자석들의 적어도 하나의 장에 노출된 복수의 정지 상태의 권선들, 상기 이송 장치에 자기력을 제공하도록 상기 정지 상태의 권선들에 동력을 공급하는 제어 시스템, 및 상기 이송 장치의 상승, 피치 앤드 롤(pitch and roll)의 수동적 안정성을 제공하는, 상기 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열을 포함하는 이송 장치를 제공하는 것이다.
[0004] 일 실시예에 있어서, 이송 장치용 구동 시스템은, 상기 이송 장치에 연결된 복수의 영구 자석들, 상기 복수의 영구 자석들의 적어도 하나의 장에 노출된 복수의 정지 상태의 권선들, 상기 이송 장치에 자기력을 제공하도록 상기 정지 상태의 권선들에 동력을 공급하는 제어 시스템, 및 상기 이송 장치의 상승, 피치 앤드 롤(pitch and roll)의 수동적 안정성을 제공하는, 상기 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열을 포함한다.
[0005] 다른 실시예들에 있어서, 상기 처리 장치는, 이송 챔버, 상기 이송 챔버와 소통될 수 있게 결합된 적어도 하나의 처리 모듈, 상기 이송 챔버와 상기 처리 모듈 사이에 워크피스를 이송하는 이송 장치, 상기 이송 챔버를 통하여 상기 이송 장치를 움직이는 자기력을 제공하는 구동 시스템을 포함한다. 상기 구동 시스템은, 상기 이송 장치에 연결된 복수의 영구 자석들, 상기 복수의 영구 자석들의 적어도 하나의 장에 노출된 복수의 정지 상태의 권선들, 상기 이송 장치에 자기력을 제공하도록 상기 정지 상태의 권선들에 동력을 공급하는 제어 시스템, 및 상기 이송 장치의 상승, 피치 앤드 롤의 수동적 안정성을 제공하는, 상기 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열을 포함한다.
따라서, 개시된 실시예들은 전용의 인도 권선들을 요구하지 않고, 인도를 위하여 사용되는 추진 및 상승 권선들을 제공하고, 하나 또는 그 이상 실시예들에 있어서, 단일-측부 구동 구성은 수동적 자기력들, 수동적 자기력들을 사용하는 수동적 상승, 피치 앤드 롤 안정성을 사용한다. 또한, 개시된 실시예들은, 한계들을 극복하는 능력을 가지고, 위상 전환, 장치 작동을 위한 수직으로 구분된 자기 압반들을 가지는 구동 구성들, 및 직접 회전 아암 작동을 위한 구동 구성들을 사용한 개방 루프 상승, 피치 앤드 롤 안정성을 또한 제공한다. 또한, 상기 실시예들은 강자성 레일들을 사용하는 수동적으로 균형잡힌 상승 능력들, 자기 래치 메커니즘을 기반으로 하는 수동적으로 균형잡힌 상승 능력들, 및 예를 들어, 종래의 듀얼-채널 모터 증폭기들을 사용하는 경우에 분리된 폐쇄 루프 위치 제어를 포함할 수 있다.
[0006] 여기에 개시된 실시예들의 상기한 특징들과 다른 특징들은 첨부된 도면을 참조하여 다음의 설명에서 기술될 것이다.
[0007] 도 1은 종래 기술에 따른 기판 처리 장치의 개략적인 평면도이다.
[0008] 도 2는 제 1 실시예에 따른 기판 처리 장치의 개략적인 평면도이다.
[0009] 도 3은 다른 실시예에 따른 기판 처리 장치의 개략적인 평면도이다.
[00010] 도 4 및 도 5는 각각 다른 실시예들에 따른 기판 처리 장치의 개략적인 평면도이다.
[00011] 도 6은 다른 실시예에 따른 기판 처리 장치의 개략적인 평면도이다.
[00012] 도 7은 다른 실시예에 따른 2 개의 기판 처리 장치를 가진 기판 처리 시스템의 개략적인 평면도이며, 도 7a는 다른 실시예에 따른 기판 처리 시스템의 다른 개략적인 평면도이다.
[00013] 도 8은 다른 통상적인 기판 처리 장치의 개략적인 평면도이다.
[00014] 도 9는 다수의 종래 처리 장치와 저장기(stocker)를 구비하는 종래의 기판 처리 시스템의 개략적인 평면도이다.
[00015] 도 10은 기판 처리 장치의 압반 구동 시스템의 단부도이다.
[00016] 도 11a 와 도 11b는 각각 기판 처리 장치의 다른 압반 구동 시스템의 단부도 및 (도 11a에서 선 11b-11b를 따라서 취한) 단면도이다.
[00017] 도 12는 다른 실현예에 따른 기판 처리 장치의 예시적인 카트(cart)의 평면도이다.
[00018] 도 12a는 카트가 연장된 위치에서 도시된 상태로 도 12 의 예시적인 카트를 도시하는 다른 평면도이다.
[00019] 도 12b는 장치의 챔버의 일부 안에서 도 12 의 예시적인 카트의 단부도이다.
[00020] 도 13a는 장치의 다른 실현예에 따른 이송 카트와 구동 시스템을 가진 장치의 챔버의 일부에 대한 상부 단부도이다.
[00021] 도 13b 내지 도 13c는 각각 도 13a에서 선 13b-13b를 따라서 취한 챔버와 카트의 단면도 및, 도 13b에서 선 13c-13c를 따라서 취한 다른 단면도이다.
[00022] 도 13d는 장치의 예시적인 구동 시스템의 개략적인 도면이다.
[00023] 도 14a는 도 2 의 장치와 함께 사용된 카트의 다른 실현예에 대한 단부도이다.
[00024] 도 14b는 구동 시스템의 복원력(F)과 축방향 편향(Z) 사이의 관계를 도시하는 그래프이다.
[00025] 도 15 및 도 16은 다른 실현예에 따라서 장치의 반도체 워크피스 이송 카트의 개략적인 사시도와 단면 전개도를 각각 나타낸다.
[00026] 도 17은 다른 실시예에 따른 이송 카트의 개략적인 사시도이다.
[00027] 도 18은 도 2의 이송 장치와 장치의 워크피스 척 회전 장치의 일부에 대한 단면도이다.
[00028] 도 19 및 도 20은 각각 이송 카트가 상이한 위치들에 있는 상태로 장치의 이송 카트와 워크피스 척 회전 장치의 입면도이다.
[00029] 도 21은 다른 실시예에 따른 척 회전 장치의 다른 개략적인 입면도이다.
[00030] 도 22 및 도 23은 각각 장치의 이송 카트의 다른 실시예에 대한 개략적인 평면도와 개략적인 입면도이다.
[00031]도 23a 및 도 23b는 각각 카트의 전달 아암이 2 개의 상이한 위치들에 있는 도 22의 이송 카트의 다른 평면도들이다.
[00032] 도 24는 이송 카트의 다른 실시예에 대한 개략적인 입면도이다.
[00033] 도 24a 내지 도 24c는 각각 카트의 전달 아암 연결이 3 개의 상이한 위치들에 있는 도 24의 이송 카트의 평면도들이다.
[00034] 도 25는 이송 카트의 다른 실시예의 개략적인 입면도이다.
[00035] 도 25a 내지 도 25c는 각각 카트의 전달 아암 연결이 3 개의 상이한 위치들에 있는 도 25의 이송 카트의 평면도들이다.
[00036] 도 26은 장치의 제어기에서 시스템 제어 소프트웨어의 개략적인 다이아그램이다.
[00037] 도 27은 이송 장치를 위한 구동 시스템의 예시적인 실시예를 도시한다.
[00038] 도 28a 내지 도 28d는 이송 장치의 하나의 측부를 따른 추진 권선들을 가지는 구동 시스템의 실시예를 도시한다.
[00039] 도 29a 내지 도 29c는 이송 장치의 두 개의 측부들을 따른 추진 권선들을 가지는 구동 시스템의 예시적인 실시예를 도시한다.
[00040] 도 30은 추진 권선들 세트와 상승 권선들 세트를 가지는 실시예를 도시한다.
[00041] 도 31은 상이한 증폭기 구성에 의하여 구동된 추진 권선 세트와 상승 권선 세트를 가지는 다른 실시예를 도시한다.
[00042] 도 32는 다른 증폭기 구성에 의하여 구동된 추진 권선 세트와 상승 권선 세트를 가지는 다른 실시예를 도시한다.
[00043] 도 33은 두 개의 추진 권선 세트들과 세 개의 상승 권선 세트들을 사용하는 예시적인 실시예를 도시한다.
[00044] 도 34a 내지 도 34d는 두 개의 추진 권선 세트들과 네 개의 상승 권선 세트들을 가지는 예시적인 실시예를 도시한다.
[00045] 도 35a, 35b, 및 36은 네 개의 추진 권선 세트들과 네 개의 상승 권선 세트들을 가지는 예시적인 실시예를 도시한다.
[00046] 도 37 및 도 38은 개별 증폭기 채널들에 의하여 구동된 네 개의 추진 권선 세트들과 네 개의 상승 권선 세트들을 가지는 예시적인 실시예를 도시한다.
[00047] 도 39a 내지 도 39c는 이송 장치에 장치 작동을 제공하기 위한 자석 압반들의 배열을 도시한다.
[00048] 도 40은 한 쌍의 로터들을 포함하는 예시적인 실시예를 도시한다.
[00049] 도 41은 이송 장치 상의 수동적 힘들을 제공하기 위한 강자성 레일들의 그리드를 도시한다.
[00050] 도 42는 이송 장치 상의 수동적 힘들을 제공하기 위한 다른 메커니즘을 도시한다.
[00051] 도 43a 내지 도 43c는 함께 사용될 수 있는 다양한 권선 및 자석 패턴들을 도시한다.
[00052] 도 2를 참조하면, 개시된 실시예들의 특징들을 포함하는 기판 처리 장치(10)의 개략적인 평면도가 도시되어 있다. 비록 개시된 실시예들이 도면들을 참조하여 설명될지라도, 개시된 실시예들은 많은 다른 실시예들의 형태들을 포함할 수 있다는 점이 이해되어야 한다. 더욱이, 요소들이나 재료들의 임의의 적절한 크기, 형상 또는 유형을 사용할 수 있다.
[00053] 기판 처리 장치(10)는 도 2 에 도시된 바와 같은 다수의 적재 포트들(12)를 가진 환경상의 전방 단부 모듈(14)(enviromental front end 모듈, EFEM)에 연결된다. 적재 포트(12)는 예를 들어 통상적인 FOUP 통(canister)들과 같은 다수의 기판 저장 통들을 지지할 수 있는데, 이는 임의의 적절한 다른 유형이 제공될 수 있을지라도 그러하다. EFEM(14)은 이후에 더욱 상세하게 설명될 처리 장치에 연결되는 적재 잠금부(16)를 통하여 처리 장치와 소통된다(대기에 개방될 수 있음). EFEM(14)은 기판들을 적재 포트(12)로부터 적재 잠금부(16)로 이송시킬 수 있는 기판 이송 장치(미도시)를 가진다. EFEM(14)은 기판 정렬 성능, 배치 처리 성능, 기판과 운반부(carrier)의 식별 성능 또는 다른 성능 등을 더 구비할 수 있다. 다른 실시예에 있어서, 적재 잠금부(적재 잠금부들)가 배치 처리 성능을 가지는 경우나 또는 적재 잠금부가 웨이퍼들을 FOUP로부터 잠금부로 직접 전달하는 성능을 가지는 경우에서와 같이 적재 잠금부(16)는 적재 포트(12)와 직접적으로 접속될 수 있다. 그러한 장치의 일부 예들은 미국특허번호 제6,071,059호, 제6,375,403호, 제6,461,094호, 제5,588,789호, 제5,613,821호, 제5,607,276호, 제5,644,925호, 제5,954,472호, 제6,120,229호, 미국특허출원번호 제10/200,818호 (2002.7.22. 출원)에 개시되어 있으며, 이들 모두는 여기에 참고로서 포함된다. 다른 실시예에 있어서, 다른 잠금 사양들이 제공될 수 있다.
[00054] 도 2를 계속 참조하면, 이전에 주목된 바와 같이 반도체 기판(예를 들어, 200/300 mm 웨이퍼들), 평판 패널 디스플레이들를 위한 패널들, 또는 임의의 다른 원하는 종류의 기판을 처리하도록 사용될 수 있는 처리 장치(10)는 일반적으로 이송 챔버(18), 처리 모듈들(20) 및, 적어도 하나의 기판 이송 장치(22)를 포함한다. 도시된 실시예에서 기판 이송 장치(22)는 챔버(18)와 일체화된다. 이러한 실시예에 있어서, 상기 처리 모듈들은 상기 챔버의 양쪽 측부 상에 장착된다. 다른 실시예에 있어서, 상기 처리 모듈은 예를 들어 도 4 에 도시된 바와 같이 상기 챔버의 일 측부 상에 장착될 수 있다. 도 2 에 도시된 실시예에 있어서, 처리 모듈(20)은 열들(Y1, Y2)이나 또는 수직의 평면들에서 서로 대향하여 장착된다. 다른 대안의 실시예들에 있어서, 상기 처리 모듈은 이송 챔버의 대향 측부 상에서 서로에 대하여 엇걸릴 수 있거나, 또는 서로에 대하여 수직 방향으로 적재된다. 이송 장치(22)는 처리 챔버들(20)과 적재 잠금부(16) 사이에서 기판을 이송하도록 챔버 내에서 움직이는 카트(22c)를 가진다. 도시된 실시예에 있어서, 단지 하나의 카트(22c)가 제공되어 있으나, 대안의 실시예들에서는 보다 많은 수의 카트들이 제공될 수 있다. 도 2에서 도시된 바와 같이, 이송 챔버(18)(그것의 내부는 진공이거나 불활성 환경이거나 또는 단순히 청결 환경이거나 또는 그것의 조합이다)는 모듈들이 실질적으로 평행한 수직의 평면들이나 또는 열들로 정렬된 상태인 신규한 카테시안(Cartesian) 배치로서 처리 모듈들이 챔버(18)에 장착될 수 있는 신규한 기판 이송 장치(22)를 채용하고 구성을 가진다. 이것은 도 1 및 도 2 의 비교로부터 명백한 바로서 비교 가능한 종래의 처리 장치(즉, 동일한 수의 처리 모듈을 가진 종래의 처리 장치)보다 더욱 집약적인 영향 범위(footprint)를 가진 처리 장치(10)를 초래한다. 더욱이, 처리량(throughput)을 증가시키기 위하여 이송 챔버(22)는, 이후에 보다 상세하게 설명될 바와 같이, 임의의 원하는 수의 처리 모듈들을 부가하는 임의의 원하는 길이가 제공될 수 있을 것이다. 상기 이송 챔버는 임의의 원하는 수의 이송 장치를 그 내부에서 지지할 수 있고, 그리고 서로 간섭하지 않으면서 이송 장치가 이송 챔버 상의 임의의 원하는 처리 챔버에 도달될 수 있게 한다. 이것은 실제에 있어서 처리장치의 처리량을 이송 장치의 취급 용량으로부터 분리시키게 되며, 따라서 처리 장치의 처리량은 취급의 제한을 받기보다는 처리의 제한을 받게 된다. 따라서, 처리량은 처리 모듈을 부가시키고 그리고 동일한 플랫폼에 취급 용량을 대응시킴으로써 원하는 바와 같이 증가될 수 있다.
[00055] 도 2를 계속 참조하면, 비록 대안의 실시예에서 챔버가 임의의 다른 적절한 형상을 가질 수 있을지라도 이러한 실시예에서는 이송 챔버(18)가 전체적으로 사각형의 형상을 가진다. 챔버(18)는 얇은 형상(즉, 길이가 폭보다 훨씬 길다)을 가지며 그 내부의 이송 장치에 대한 전체적으로 선형의 이송 경로를 한정한다. 챔버(18)는 길이 방향의 측벽들(18S)을 가진다. 측벽들(18S)은 자신을 통해 형성된 이송 개구들 또는 포트들(180)을 가진다. 이송 포트들(180)은 기판들이 포트를 통하여(밸브를 통할 수 있음) 상기 이송 챔버의 안과 밖으로 통과될 수 있을 정도로 크게 크기가 정해진다. 도 2에서 알 수 있는 바와 같이, 이러한 실시예에서 처리 모듈(20)은 각각의 처리 모듈이 상기 이송 챔버 내에서 대응하는 이송 포트와 정렬된 상태로 측벽(18S)의 외측에 장착된다. 이해될 수 있는 바로서, 각각의 처리 모듈(20)은 이송 챔버 안에 진공을 유지하도록 대응하는 이송 통공의 주위 둘레에서 챔버(18)의 측부(18S)에 대하여 밀봉될 수 있다. 각각의 처리 모듈은 원할 때 이송 포트를 폐쇄하도록 임의의 적절한 수단에 의해 제어되는 밸브를 가질 수 있다. 이송 포트들(180)은 동일한 수평 평면에 위치될 수 있다. 따라서, 상기 챔버 상의 상기 처리 모듈은 또한 동일한 수평 평면에 정렬된다. 다른 실시예에 있어서, 상기 이송 포트들은 상이한 수평 평면들에 위치될 수 있다. 도 2에서 알 수 있는 바로서, 이러한 실시예에 있어서, 적재 잠금부(16)는 2 개의 최전방 이송 포트들(180)에서 챔버의 측부들(18S)에 장착된다. 이것은 상기 처리 장치의 전방에서 상기 적재 잠금부들이 EFEM(14)에 근접될 수 있게 한다. 다른 실시예들에서, 상기 적재 잠금부는 도 4에서 예를 들어 도시된 바와 같이 상기 이송 챔버상의 임의의 다른 이송 포트에도 위치될 수 있다. 이송 챔버의 육면체 형상은 원하는 갯수의 처리 모듈의 열들을 장착하기 위하여 챔버의 길이가 원하는 바와 같이 선택될 수 있게 한다(예를 들어 도 3, 도 5, 도 6 내지 도 7a를 참조하면 상기 이송 챔버의 길이는 임의의 수의 처리 모듈들을 수용하도록 되어 있는 다른 실시예를 도시한다).
[00056] 이전에 주목된 바와 같이, 도 2 에 도시된 실시예의 이송 챔버(18)는 단일의 카트(22C)를 가지는 하나의 기판 이송 장치(22)를 가진다. 이송 장치(22)는 전방(18F)과 후방(18B) 사이에서 상기 챔버 안의 전후로 카트(22C)를 병진 운동시키도록 챔버와 일체화된다. 이송 장치(22)는 하나 또는 그 이상의 기판들을 유지하기 위한 단부 작동체들을 가진 카트(22C)를 가진다. 또한, 이송 장치(22)의 카트(22C)는, 상기 처리 모듈들 또는 적재 잠금부들 안의 기판을 집어올리거나 또는 해제하기 위하여 상기 단부 작동체를 신장시키고 수축시키는 관절화된 아암이나 또는 가동가능한 전달 메카니즘(22A)을 가진다. 상기 처리 모듈들/적재 포트들로부터 기판들을 집어올리거나 또는 해제시키도록, 이송 장치(22)는 원하는 모듈/포트와 정렬될 수 있으며, 아암은 기판의 집어올림/해제를 위하여 모듈/포트 내측에 단부 작동체를 위치시키도록 대응하는 포트(180)를 통하여 연장/수축된다.
[00057] 도 2 에 도시된 이송 장치(22)는 개별의 이송 장치이며, 선형의 지지/구동 레일들로부터 지지된 카트(22C)를 포함한다. 상기 이송 장치는 하기에 상세하게 설명하기로 한다. 상기 선형의 지지/구동 레일은 이송 챔버의 측벽(18S), 바닥, 또는 상부에 장착될 수 있으며, 챔버의 길이로 연장될 수 있다. 이것은 카트(22C)가, 따라서 장치가 챔버의 길이로 가로지를 수 있게 한다. 카트는 프레임을 가지는데, 이것은 아암을 지지한다. 또한, 상기 프레임은 주물 장착부들 또는 압반들(platens, 22B)을 지지하는데, 이것은 프레임과 함께 또는 그에 대하여 움직인다. 하기에 더 설명되는 바로서, 순차적인 동기화 선형 모터(30)는 압반(22B)을 구동하며 따라서 카트(22C)를 레일들을 따라 구동한다. 선형 모터(30)는 상기 이송 챔버의 바닥 또는 측벽(18S)에 위치될 수 있다. 이후에 자세하게 설명되는 바로서, 방벽은 모터의 권선들과 압반들의 기동 부분 사이에 위치될 수 있어서, 권선들을 상기 챔버의 내측으로부터 격리시킨다. 전체적으로, 상기 선형 모터는 다수의 구동 영역들을 포함할 수 있다. 상기 구동 영역들은 상기 이송 챔버를 따른 위치들에 위치되는데, 여기에서 아암(22A)은 연장되고/수축된다(즉, 모듈들/포트들의 이러한 실시예에서 열(Y0-Y2)에 있게 된다). 구동 영역의 수와 밀도는 카트 당 압반들의 수와, 챔버 당 모터들의 수와, 처리 모듈들 또는 교환 지점들 등의 수에 달려 있다. 이러한 실시예에 있어서, 상기 압반들이 서로에 대한 상대적인 운동으로 구동 모터에 의해 움직일 때 상기 아암이 신장되거나 또는 수축되도록 아암은 적절한 연결부/전동부에 의해서 압반들(22A)에 작동 가능하게 연결된다. 예를 들어, 상기 압반들이 레일들을 따라서 이탈되게 움직일 때 상기 아암이 좌측으로 연장되도록; 그리고 서로 근접하게 뒤로 움직일 때 상기 아암이 좌측으로부터 수축되도록 상기 전동부가 배치될 수 있다. 또한, 상기 압반들은 선형 모터에 의해 적절하게 작동될 수도 있어서 아암(22A)을 우측으로 그리고 그로부터 신장/수축시킨다. 상기 압반들의 위치 감지, 따라서 카트 및 신장/수축된 아암의 위치에 대한 위치 감지 뿐만 아니라, 선형 모터를 가지고 활강 레일들에 걸쳐서 압반들의 운동을 제어하는 것도 국제출원공개번호 제WO99/23504호; 제99/33691호; 제01/02211호; 제01/38124호; 그리고 제01/71684호에 따라서 이루어질 수 있으며, 이들은 전체적으로 본원에 참고로서 포함된다. 이해될 수 있는 바로서, 상기 압반들은 길이 방향에서 이송 챔버 내측으로 전체적인 카트/장치를 움직이기 위하여 일 방향으로 조화를 이루어 구동될 수 있다.
[00058] 도 3 은 기판 처리 장치(10')의 다른 실시예를 도시하는데, 이것은 전체적으로 장치(10)와 유사하다. 이러한 실시예에 있어서, 이송 챔버(18')는 두 개의 이송 장치(22A, 22B)를 가진다. 이송 장치(122A, 122B)는 실질적으로 이전에 설명된 실시예에 있는 장치(22)와 같다. 양쪽의 이송 장치(122A, 122B)는 모두 이전에 설명된 바와 같은 길이 방향의 활강 레일의 공통적인 세트(set)로부터 지지될 수 있다. 각각의 장치에 대응하는 카트의 압반은 같은 선형 모터 구동에 의해 구동될 수 있다. 상기 선형 모터의 상이한 구동 영역들은 각각의 카트 상에서 개별 압반의 독립적인 구동을 허용하며, 따라서 각각의 개별 카트(122A, 122B)의 독립적인 구동을 또한 허용한다. 따라서, 이해될 수 있는 바로서 각각의 장치의 아암은 이전에 설명된 바와 유사한 방식으로 선형의 모터를 사용하여 독립적으로 신장/수축될 수 있다. 그러나, 이러한 경우에 기판 이송 장치(122A, 122B)는 분리된 활강 시스템들이 채용되지 않는다면 이송 챔버 안에서 서로 통과될 수 없다. 따라서, 이송 장치가 서로 간섭하는 것을 회피하는 시퀀스로 기판이 처리 모듈 내에서 처리되게끔 이송될 수 있도록 처리 모듈들은 이송 챔버의 길이를 따라서 위치된다. 예를 들어, 코팅을 위한 처리 모듈들은 가열 모듈들 이전에 위치될 수 있으며, 냉각 모듈들과 식각 모듈들은 마지막에 위치될 수 있다.
[00059] 그러나, 이송 챔버(18')는 다른 이송 영역(18A, 18B)을 가질 수 있으며, 상기 영역은 2 개의 이송 장치가 서로의 위로 통과될 수 있게 한다(레일을 필요로 하지 않는 자기적으로 매달린 영역이거나 또는 우회 레일이거나 또는 측부 레일과 유사함). 이러한 경우에, 다른 이송 영역은 상기 처리 모듈들이 위치되는 수평의 평면(들) 위나 또는 아래에 위치될 수 있다. 이러한 실시예에 있어서, 이송 장치는 2 개의 활강 레일을 가지는데, 각각의 이송 장치에 대하여 하나씩 가지게 된다. 하나의 활강 레일은 상기 이송 챔버의 측벽들이나 또는 바닥에 위치될 수 있으며, 다른 활강 레일은 상기 챔버의 상부에 위치될 수 있다. 다른 실시예들에 있어서, 카트들을 동시에 구동시키고 현수(suspend)시킬 수 있는 선형 구동 시스템이 채용될 수 있는데, 여기에서 카트들은 수평과 수직으로 독립적으로 움직일 수 있고, 따라서 카트들이 서로 독립적으로 기판을 통과하거나 또는 전달할 수 있다. 전기적인 권선들을 채용하는 모든 실시예들에서, 이러한 권선들은 저항 히터로서도 사용될 수 있는데, 이는 예를 들어 수증기를 제거하는 경우에서와 같이 가스 제거를 위해서 챔버가 가열되는 것이 원하는 경우에 그러하다. 이러한 경우에 각각의 이송 장치는 전용의 선형 구동 모터 또는 전용의 구동 영역에 의해 구동될 수 있으며, 상기 영역에서 카트는 위에 설명된 바와 유사하게 체류한다.
[00060] 도 6 및 도 7을 참조하면, 다른 실시예에 따른 다른 기판 처리 장치가 도시되어 있다. 도 7 및 도 7a 에 도시된 바와 같이 이러한 실시예들의 이송 챔버는 부가적인 처리 모듈을 수용하도록 신장된다. 도 6 에 도시된 장치는 이송 챔버에 연결된 열 두개(12)의 처리 모듈들을 가지며, 도 7 의 각각의 장치 (2 개의 장치가 도시되어 있음)는 이송 챔버에 연결된 24 개의 처리 모듈들을 가진다. 이러한 실시예들에 도시된 처리 모듈의 수는 단지 예시적인 것이고, 상기 장치는 이전에 설명된 바와 같이 임의의 다른 개수의 처리 모듈을 가질 수 있다. 이들 실시예들에서 상기 처리 모듈은 이전에 설명된 것과 유사한 카테시안(Cartesian) 배치로 이송 챔버의 측부를 따라서 배치된다. 그러나 이러한 경우에 처리 모듈의 열의 수는 크게 증가되었다(예를 들어, 도 6 의 장치에서 여섯(6)개의 열이고, 도 7 의 장치들 각각에서 열두 개(12)의 열이다.). 도 6 의 실시예에 있어서, EFEM 은 제거될 수 있으며 적재 포트들은 적재 잠금부와 직접적으로 짝을 이룰 수 있다. 도 6 과 도 7 의 장치의 이송 챔버는 적재 잠금부와 처리 챔버들 사이에서 기판을 취급하도록 다중의 이송 장치(즉, 도 6 의 경우에는 3 개의 장치이며 도 7 의 경우에는 6 개의 장치)를 가진다. 도시된 이송 장치의 수는 단지 예시적이며 더 많거나 적은 수의 장치가 사용될 수 있다. 이러한 실시예들에서 상기 이송 장치는 전체적으로 이전에 설명된 것과 유사하며, 아암과 카트를 구비한다. 그러나 이러한 경우에 카트는 이송 챔버의 측벽들 내에서 영역을 이룬 선형 모터 구동부들로부터 지지된다. 이러한 경우에 선형의 모터 구동부들은 카트를 2 개의 직교하는 축에서 (즉, 이송 챔버 안에서 길이 방향으로 그리고 이송 챔버 안에서 수직 방향으로) 병진 운동시킨다. 따라서, 상기 이송 장치는 상기 이송 챔버 안에서 서로를 지나서 움직일 수 있다. 상기 이송 챔버는 처리 모듈의 평면(들)의 위 및/또는 아래에 "통과시키는(passing)" 또는 이송 부위들을 가질 수 있으며, 그것을 통하여 정지 상태의 이송 장치(즉, 처리 모듈 안에서 기판을 집어올리고/놓아줌)나 또는 반대 방향들로 이동하는 이송 장치를 회피하도록 이송 장치의 경로가 정해질 수 있다. 이해될 수 있는 바로서, 상기 기판 이송 장치는 다중 기판 이송 장치의 운동을 제어하기 위한 제어기를 가진다.
[00061] 계속 도 7을 참조하면, 이러한 경우에 기판 처리 장치(18A, 18B)는 공구(300)에 직접적으로 짝을 이룰 수 있다.
[00062] 도 3, 도 5, 도 6, 및 도 7 로부터 이해될 수 있는 바와 같이, 이송 챔버(18)는 처리 설비(P)의 도처로 주행하도록 원하는 바와 같이 연장될 수 있다. 도 7 에 도시된 바와 같이, 그리고 아래에 더욱 상세하게 설명되는 바로서, 상기 이송 챔버는 예를 들어 저장부, 리소그래피 공구(tool), 금속 증착 공구 또는 임의의 적절한 공구 구획부(bay)들과 같은, 처리 설비(P) 내의 다양한 부분 또는 구획부들(18A, 18B)에 연결되고 소통될 수 있다. 이송 챔버(18)에 의해 상호 연결된 구획부들은 또한 처리 구획부들 또는 처리부들(18A, 18B)로서 구성될 수도 있다. 각각의 구획부는 반도체 워크피스에서 주어진 제조 공정을 수행하도록 원하는 공구(예를 들어, 리소그래피, 금속 증착, 가열 담금(heat soaking), 세정)를 가진다. 임의의 경우에라도, 이송 챔버(18)는 이전에 설명된 바와 같이 그에 대하여 소통 가능하게 연결된, 설비 구획부들 안의 다양한 공구들에 대응하는 처리 모듈을 가져서, 상기 챔버와 상기 처리 모듈 사이에서 반도체 워크피스의 전달이 허용된다. 따라서, 상기 이송 챔버는 이송 챔버에 연결된 다양한 처리 모듈들의 환경들에 대응하는 그것의 길이의 도처에, 대기, 진공, 초고진공, 불활성 가스, 또는 임의의 다른 조건과 같은 상이한 환경상의 조건들을 구비할 수 있다. 그러므로, 주어진 공정 또는 구획부(18A, 18B), 또는 상기 구획부의 일부 안에 있는 상기 챔버의 부분(18P1)은 예를 들어 하나의 환경상의 조건(예를 들어, 대기)을 가질 수 있으며, 상기 챔버의 다른 부분(18P2, 18P3)은 상이한 환경상의 조건을 가질 수 있다. 이전에 주목된 바와 같이, 그 안에 상이한 환경들을 가진 상기 챔버들의 부분(18P1, 18P2, 18P3)은 상기 설비의 상이한 구획부들일 수 있거나, 또는 상기 설비의 하나의 구획부 안에 모두 있을 수 있다. 도 7은 상이한 환경을 가진 3 개의 부분들(18P1, 18P2, 18P3)을 가지는 챔버(18)를 도시하는데, 이는 단지 예를 들기 위한 목적으로 도시한 것이다. 이러한 실시예에서 챔버(18)는 원하는 바와 같이 상이한 환경들과 같은 수의 부분들을 가질 수 있다.
[00063] 도 7 에 도시된 바와 같이, 챔버(18)내의 장치(122A)와 유사한 이송 장치(도 3 참조)는 그 안에 상이한 환경을 가진 챔버의 부분들(18P1, 18P2, 18P3) 사이에서 통과될 수 있다. 따라서, 도 7 로부터 이해될 수 있는 바로서, 이송 장치(122A)는 하나의 집어올림(pick)으로써, 상기 처리 설비의 하나의 공정 또는 구획부(18A) 안에 있는 공구로부터, 상기 처리 설비의 상이한 공정 또는 구획부(18B) 안의 상이한 환경을 가진 다른 공구로, 반도체 워크피스를 움직일 수 있다. 예를 들어, 이송 장치(122A)는 처리 모듈(301)내의 기판을 집어올릴 수 있는데, 이것은 이송 챔버(18)의 부분(18P1)에서 대기 모듈, 리소그래피, 식각 또는 임의의 다른 원하는 처리 모듈일 수 있다. 이어서, 이송 장치(122A)는 도 7 의 화살표(X3)로 표시된 방향으로 상기 챔버의 부분(18P1)으로부터 부분(18P3)으로 움직일 수 있다. 부분(18P3)에서, 이송 장치(122A)는 기판을 처리 모듈(302) 안에 배치할 수 있는데, 이는 임의의 원하는 처리 모듈일 수 있다.
[00064] 도 7 로부터 이해될 수 있는 바로서, 상기 이송 챔버는 모듈화될 수 있으며, 챔버의 모듈들이 원하는 바와 같이 챔버(18)를 형성하도록 연결된다. 상기 모듈들은 도 2 에 도시된 벽(18B, 18F)과 같이 내측 벽(18I)을 포함할 수 있어서, 상기 챔버의 부분들(18P1, 18P2, 18P3, 18P4)을 격리시킨다. 내측 벽들(18I)은 슬롯 밸브나 또는 임의의 다른 적절한 밸브를 구비할 수 있어서 챔버(18P1, 18P4)의 일 부분이 인접한 부분들과 소통될 수 있게 한다. 슬롯 밸브들(18V)은 하나 또는 그 이상의 카트들이 밸브들을 통하여 하나의 부분(18P1, 18P4)으로부터 다른 부분으로 통과할 수 있도록 크기가 정해질 수 있다. 이러한 방식으로, 카트들(122A)은 챔버(18)의 도처로 어느 곳이든 움직일 수 있다. 상이한 부분들이 이전에 설명된 바와 같은 공통점이 없는 환경들을 포함할 수 있도록, 밸브들이 챔버의 부분들(18P1, 18P2, 18P3, 18P4)을 격리시키게끔 폐쇄될 수 있다. 더욱이, 상기 챔버 모듈들의 내부벽들은 도 7에 도시된 바와 같은 잠금 적재부(18P4)를 형성하도록 위치될 수 있다(예시의 목적으로 도 7에 단지 하나만이 도시된). 잠금 적재부(18P4)는 원하는 바에 따라 챔버(18) 안에 위치될 수 있으며 그 안에 카트들(122A)을 임의의 개수라도 유지할 수 있다.
[00065] 도 7 에 도시된 실시예에 있어서, 공정들(18A, 18B)은 예를 들어 식각과 같은 공정일 수 있으며, 여기에서 저장기(stocker)인 공구(300)와 결합된 처리 장치(18A, 18B)는 기판들의 동일한 양을 처리할 수 있는데, 이는 예를 들어 도 9에 도시되었지만 관련된 재료의 취급이 위에서 이루어지지 않는 장치가 FOUPS를 상기 저장기로부터 개별의 공정 공구들로 AMHS를 통하여 이송시키고, 그리고 개별 웨이퍼들을 EFEM 들을 통하여 개별의 처리 공구들로 이송시키는 것과 관련되는 것과 같다. 대신에, 저장기 안의 로봇은 FOUPS를 직접적으로 FOUPS를 적재 포트로 전달하며 (공구당 3 개가 도시되었으며, 처리량의 요구들에 따라서 더 많거나 적은 것이 제공될 수 있다), 여기에서 웨이퍼들은 원하는 공정 및/또는 필요한 처리량에 따라서 잠금부들로 일괄되게 움직이고 개별의 처리 모듈(들)로 발송된다. 이러한 방식으로, 정상적인(steady) 상태의 양식으로, 도 7의 장치와 도 9의 장치는 같은 처리량을 가질 수 있지만, 도 7에 도시된 장치는 보다 적은 비용, 보다 작은 영향 범위, 보다 적은 WIP의 필요-따라서 보다 적은 재고품 및, 단일의 운반 할당(또는 "새로운 할당(hot lot)")을 처리하는 시간을 보았을 때 보다 급속한 전환을 가지면서 그러한 것을 이루게 되어 제조 작업자에게 현저한 장점들을 부여한다. 공구(18A, 18B) 또는 저장기(300) 안에는 계측 성능, 분류 성능, 재료 식별 성능, 테스트 성능, 검사 성능 (박스들을 두는 성능...)등과 같이 필요에 따라서 더 포함되어 기판을 효과적으로 처리하고 테스트할 수 있다.
[00066] 도 7 에 도시된 실시예에 있어서, 예를 들어 식각, CMP, 구리 증착, PVD, CVD 등과 같은 상이한 공정들인 다소의 공정들(18A, 18B)이 제공될 수 있으며, 여기에서 예를 들어 포토리소그래피의 셀(cell)인 공구(300)와 결합된 처리 장치(18A, 18B)등은 기판의 같은 양을 처리할 수 있는데, 이는 예를 들어 도 9 에 도시되었지만 관련된 재료의 취급이 위에서 이루어지지 않는 다중의 장치가 FOUP들을 저장기들로부터 개별의 공정 공구 구획부들과 리소그래피 구획부로 AMHS를 통하여 이송시키고, 그리고 개별의 웨이퍼를 EFEM들을 통하여 개별의 처리 공구들로 이송하는 것과 관련되는 것과 같다. 대신에, 리소그래피 셀 안의 자동 장치가 직접적으로 FOUP들, 기판들 또는 재료를 적재 포트로 전달하며(공정 유형당 3 개가 도시되어 있지만, 더 많거나 더 적은 것이 처리량 요구들에 따라서 제공될 수 있다), 여기에서 기판들은 원하는 공정 및/또는 필요한 처리량에 따라서 개별의 공정으로 발송된다. 그것의 대안의 예는 도 7a 에 도시되어 있다. 이러한 방식으로, 도 7 의 장치는 보다 적은 비용, 보다 낮은 영향 범위, 보다 적게 필요한 WIP-따라서 보다 적은 재고품 및 단일의 운반 할당(또는 "새로운 할당(hot lot)")을 처리하는 시간에서 바라볼 때 급속한 전환 및, 높은 정도의 오염 조절과 함께 그것을 이루게 되어 제조 작업자에게 현저한 장점들을 부여한다. 공구(18A, 18B) 또는 공구 또는 셀(300) 안에는 계측 성능, 공정 성능, 분류 성능, 재료 식별 성능, 테스트 성능, 검사 성능 (박스를 두는 성능..)등이 필요에 따라서 더 구비될 수 있어서 기판을 효과적으로 처리하고 테스트할 수 있다. 도 7 로부터 알 수 있는 바로서, 처리 장치(18A, 18B)와 공구(300)는 공통의 제어기 환경(예를 들어, 불활성 대기, 또는 진공)을 공유하도록 결합될 수 있다. 이것은 기판들이 공구(300)로부터의 제어된 환경 안에서 장치(18A, 18B)내의 공정 도처에 유지되는 것을 보장한다. 이것은 도 8 에 도시된 종래 장치의 구성에서와 같은 FOUP들의 특별한 환경 제어의 사용을 배제시킨다.
[00067] 도 7a를 참조하면, 도 7 에 도시된 실시예의 특징을 구비하는 예시적인 제조 설비 레이 아웃(601)이 도시되어 있다. 카트(22A, 122A)와 유사한 카트(406)는 처리 단계들을 통하여 기판들 또는 웨이퍼들을 제조 설비(601)내에서 이송 챔버들(602, 604, 606, 608, 610, 612, 614, 616, 618, 620, 624, 626)을 통해 이송한다. 상기 처리 단계들은 에피택셜 실리콘(630), 유전체 증착(632), 포토리소그래피(634), 식각(636), 이온 주입(638), 급속 열 처리(640), 계측(642), 유전체 증착(644), 식각(646), 금속 증착(648), 전기 도금(650), 화학 기계적 연마(652)를 포함할 수 있다. 다른 실시예에서 더 많거나 적은 공정들이 포함되거나 혼합될 수 있는데, 예를 들어 같은 시퀀스에 있어서 식각, 금속 증착, 가열 및 냉각 작동들과 같은 것이다. 이전에 주목된 바로서, 카트(406)가 동일한 모듈에서 처리된 웨이퍼를 집어올리고 처리되지 않은 웨이퍼를 배치하는 성능을 가지는 경우에서와 같이, 카트들(406)은 단일의 웨이퍼 또는 다중의 웨이퍼들을 운반할 수 있으며, 전달 성능을 가질 수 있다. 카트들(406)은 직접적인 공구 대 공구 또는 구획부 대 구획부의 전달 또는 공정 대 공정의 전달을 위해서 격리 밸브들(654)을 통하여 이동할 수 있다. 밸브들(654)은 주어진 밸브(654)의 양측 상에서 압력의 편차 또는 가스 종류의 차이에 따라서 밀봉된 밸브이거나 또는 단순하게 컨덕던스 유형(conductance type)의 밸브들일 수 있다. 이러한 방식으로, 웨이퍼 또는 기판들은 단일의 취급 단계 또는 "한번의 취급(one touch)"으로써 하나의 처리 단계로부터 다음의 단계로 전달될 수 있다. 결과적으로, 취급에 기인한 오염이 최소화된다. 예를 들어, 그러한 압력 또는 종류의 차이의 예는 일측에 청결한 공기가 있고 다른 측에는 질소가 있거나; 또는 일측에 대략적인 압력 진공 레벨들이 있고 다른 측에 고진공이 있거나; 일측에 진공이 있고 다른 측에는 질소가 있는 경우이다. 도 7에서 챔버(18P4)와 유사한 적재 잠금부(656)는 하나의 환경과 다른 환경 사이에서 통과되도록 사용될 수 있고; 예를 들어 진공과 질소 또는 아르곤 사이에서 통과되도록 사용될 수 있다. 다른 실시예들에 있어서, 다른 압력들 또는 종류들이 임의의 개수의 조합으로라도 제공될 수 있다. 적재 잠금부들(656)은 단일의 운반부 또는 다중의 운반부들을 통과시킬 수 있다. 이와는 달리, 기판(들)은 선반들(shelves, 미도시) 상에서, 또는 그와는 달리 밸브를 통과하는데 카트가 필요로 하지 않는 곳에서, 적재 잠금부(656) 안으로 전달될 수 있다. 정렬 모듈들, 계측 모듈들, 세정 모듈들, 처리 모듈들(예를 들어, 식각, 증착, 폴리싱 등), 열적 컨디셔닝 모듈들 또는 그와 다른 것들과 같은 부가적인 특징들이 잠금부(656) 또는 상기 이송 챔버들 안에 포함될 수 있다. 서비스 포트들(service port, 660)이 제공되어 카트들 또는 웨이퍼들을 상기 공구로부터 제거할 수 있다. 웨이퍼 또는 운반부 저장기들(662, 664)은 웨이퍼를 저장하고 버퍼 처리하고 그리고 또는 테스트하도록 제공될 수 있다. 다른 실시예에 있어서, 카트들이 리소그래피 공구들로 직접적으로 배향되지 않는 경우에, 저장기들(662, 664)이 제공되지 않을 수 있다. 다른 예는 인덱서(indexer) 또는 웨이퍼 저장 모듈(666)이 공구 세트 상에 제공되는 경우이다. 재-순환 유니트(668)는 공구 부분(612)과 같은 임의의 주어진 부분에서 공기 또는 가스의 종류들을 순환시키고 그리고 또는 여과시키도록 제공될 수 있다. 재-순환 유니트(668)는 처리되고 있는 가스의 종류를 컨디셔닝하도록 가스 정화부, 입자 필터들, 화학적 필터들, 온도 조절부, 습도 조절부 또는 다른 특징부들을 가질 수 있다. 주어진 공구 부분들에서 더 많거나 또는 적은 순환 및 또는 필터 또는 컨디셔닝 유니트들이 제공될 수 있다. 격리 단계들(670)은 교차 오염될 수 없는 공구 부분들이나 상이한 공정들로부터 카트들 및/또는 웨이퍼들을 격리시키도록 제공될 수 있다. 카트가 일반적인 작업 공간 내에서 배향의 변화 없이 집어올리거나 배치하는 경우에 잠금부들 또는 상호 연결부들(672)이 제공되어서 카트의 배향이나 방향을 변화시킬 수 있다. 다른 실시예 또는 방법들에서는 공정 시퀀스의 임의의 적절한 조합이나 또는 구성이라도 제공될 수 있다.
[00068] 이제 도 10을 참조하면, 일 실시예에 따른 예시적인 단일 축 압반 구동 시스템(320)의 단부도가 도시되어 있다. 구동 시스템(320)은 도 2, 도 3, 도 7, 및 도 7a에 도시된 이송 장치 또는 카트들(22a, 122a, 406)을 구동하기 적절한 구동부의 예이다. 시스템(320)은 압반(324)을 구동하는 정지 상태의 권선 세트(winding 세트)를 가진다. 압반(324)은 레일들(328) 위에서 미끄러질 수 있는 활강 블록들(326) 위에 지지될 수 있다. 레일들(328)은 상기 이송 챔버의 베이스(330) 또는 측벽들에 결합된다. 베이스(330)는 권선(322)와 압반(324) 사이에 방벽(332)을 제공한다. 이해될 수 있는 바로서, 방벽(332)은 내부 환경으로부터 권선(322)을 격리시킬 수 있다. 권선(322)는 베이스(330)에 결합된다. 압반은 압반(324)을 권선(322)과 인터페이스(interface)시키기 위하여 그에 결합된 자석(334)을 가질 수 있다. 센서(336)는 자석-제한형의 홀 효과 센서(magneto-restrictive type hall effect sensor)일 수 있으며, 압반(324)내의 자석들의 존재를 감지하고, 적절한 전환을 결정하도록 제공될 수 있다. 부가적으로, 센서들(336)은 압반(324)의 미세 위치 결정을 위해서 채용될 수 있다. 위치 피드백 장치(340)는 정확한 위치 피드백을 위해서 제공될 수 있다. 장치(340)는 예를 들어 유도적이거나 또는 광학적인 것일 수 있다. 상기 장치가 유도적인 경우에, 여기 소스(excitation source, 342)가 제공될 수 있어서, 상기 권선 또는 패턴(346)을 여기시키며 패턴(346) 사이의 결합을 통하여 수신기(344)에 유도적으로(inductively) 결합된다. 상대적인 위상과 진폭의 관계가 압반(324)의 위치를 결정하기 위하여 사용된다. IR 표지부(tag)와 같은 카트 식별 표지부(347)에는 스테이션(station)이 카트의 식별부(id)를 결정하도록 적절한 스테이션에 제공된 판독기(348)가 제공될 수 있다.
[00069] 이제 도 11a를 참조하면, 다른 실시예에 따른 압반 구동 시스템(400)의 단부의 도면이 도시되어 있다. 또한 도 11b를 참조하면, 도 11a 의 선 11b-11b를 따라서 취한 구동 시스템(400)의 단면도가 도시되어 있다. 아래에 보다 상세하게 설명되는 바로서, 시스템(400)은 압반 또는 카트(406)의 운동을 이룰 수 있다(카트(406)는 이전에 설명된 카트 또는 이송 장치(22A, 122A)와 유사할 수 있다). 시스템(400)은 카트(406)를 구동하는 대향의 정지 상태의 권선 세트들(402, 404)를 가진다. 권선 세트들(402, 404)은 2 차원의 구동 배열인, 수직부(408)와 측방향부(410)로 감긴다. 다른 실시예에 있어서, 카트(406)를 상이한 방향들로 구동시키도록 부가적인 배열들이 제공될수 있는데, 예를 들어(427) 시스템(400)을 그로부터 90도로 배향된 다른 유사한 시스템에 결합시킴으로써 제공된다. 배열들은 다중의 카트들이 독립적으로 구동될 수 있도록 하기 위하여 다중의 영역들에서 구동된다. 예를 들어, 영역(424)은 공급 영역일 수 있으며, 영역(426)은 전달 영역일 수 있고, 그리고 영역(428)은 복귀 영역일 수 있다. 각각의 영역내 에는 하위 영역들이 있을 수 있으며, 하위 영역들은 각각의 영역 내에서 다중의 카트들을 구동시킬 수 있다. 다른 실시예들에 있어서, 더 많거나 적은 영역들 또는 하위 영역들이 임의의 다수의 조합으로 제공될 수 있다. 카트(406)는 권선 세트들(402, 404)에 의해서 제공된 장(field)에 의해 지지되며 권선 세트들(402, 406) 사이의 장을 바이어스시킴으로써 비접촉의 방식으로 위치될 수 있다. 챔버(412)는 권선 세트들(402, 404)과 카트(406) 사이의 방벽(414)으로서 제공될 수 있다. 상기 권선들은 도시된 바와 같이 영역(416) 안에 존재한다. 카트(406)는 권선을 가진 압반들(418, 420)을 가질 수 있다. 다른 실시예들에 있어서, 보다 많거나 적은 압반들이 제공될 수 있다. 센서들의 배열이 압반들과 카트의 정밀한 위치 결정을 위해서 그리고 적절한 전환과 위치를 결정하는 압반들 또는 압반들 또는 카트 안의 자석들의 존재를 감지하기 위하여 제공될 수 있다. 카트 식별 표지부에는 스테이션이 카트의 식별부(id)를 결정하도록 적절한 스테이션에 제공된 판독기가 제공될 수 있다.
[00070] 이제 도 12를 참조하면, 장치의 다른 실시예에 따른 처리 장치(10)에 대한 예시적인 카트(229)의 평면도가 도시되어 있다. 카트(229)는 도 2, 도 3 도 7, 도 7a에 도시되고 이전에 설명된 이송 장치(22) 또는 카트들(122A, 406)과유사할 수 있다. 카트(229)는 축방향의 경로(150) 및/또는 반경 방향의 경로(152)를 따라서 기판(148)을 이송시킬 수 있는 것으로 도시되어 있다. 카트(229)는 또한 도 12에 도시된 경로(154)를 따라서 기판을 움직일 수도 있다. 카트(229)는 단순화를 위해서 2 차원 시스템으로서 도시되었지만, 다른 실시예에서는 부가적인 운동의 축, 예를 들어 z 운동(미도시-지면의 안과 밖으로의 운동)이나 또는 각도 운동(154)이 제공될 수 있다. 카트(229)는 단순화를 위해서 단일 기판(148)을 취급할 수 있는 것으로 도시되었다. 그러나, 다른 실시예들에 있어서, 부가적인 취급이 제공될 수 있다. 예를 들어, 상기 카트는 제2 의 기판을 취급하는 성능을 포함할 수 있는데, 이것은 처리 모듈에서 기판이 교환되는 것이 필요한 경우에 그러하다 (즉, 처리된 제1 기판이 집어올려질 수 있고 처리되지 않은 제2 기판은 다음에 동일한 카트(229)로부터 동일한 처리 모듈에 배치될 수 있다).
[00071] 카트(229)는 프레임(156), 단부 작동체(158) 및 제2 프레임(160)을 가진다. 활강부들(162)은, 도시된 바와 같이, 프레임(156), 단부 작동체(158) 및 제2 프레임(160)을 프레임(156)의 좌측이나 또는 우측으로 선형의 경로(152)를 따라서 서로에 대하여 활강될 수 있게 강제한다. 비록 선형의 메카니즘이 도시되었을지라도, 다른 실시예에 있어서, 임의의 적절한 아암 시스템이 사용될 수 있으며, 예를 들어 도 17 에 도시된 바와 같은 프레임(156)에 결합된 스카라 유형(scara type)과 같은 것이며 이에 관해서는 이하에 보다 상세하게 설명될 것이다. 기판(148)은 단부 작동체(158) 상에 지지된다.
[00072] 이제 도 12a를 참조하면, (도 2, 도 3, 도 7, 및 도 7a를 참조하여 챔버(18, 602-626)와 유사한) 챔버(229)의 일부 안에 있는 예시적인 카트(229)의 평면도가 도시되어 있다. 상기 카트는 예시적인 모듈(166)의 안으로 연장된 단부 작동체(158)를 가진다. 모듈(166)은 상기 이송 챔버에 연결된 것으로 이전에 설명된 임의의 모듈과도 유사할 수 있다. 카트(229)는 축방향의 경로(150) 및/또는 반경 방향의 경로(152)를 따라서 기판(148)을 이송시킬 수 있는 것으로 도시되어 있다. 카트(229)는 프레임(156), 단부 작동체(158) 및 제2 프레임(160)을 가진다. 활강부(162)는, 도시된 바와 같이, 프레임(156), 단부 작동체(158) 및 제2 프레임(160)을 프레임(156)의 좌측으로나 또는 우측으로 선형의 경로(152)를 따라서 서로에 대하여 활강될 수 있게 강제한다. 프레임(156)은 동기화 모터(170)와 인터페이스되어 있는 자기 압반들(168)을 그것의 하측부에 가진다. 구동 압반(172)은 동기화 모터(174)와 인터페이스된다. 구동 압반(172)은 베어링들(178)을 사용함으로써 방향(150)에 실질적으로 평행한 방향(176)을 따라서 프레임(156)에 대하여 활강될 수 있으며 그것의 하측부에 장착된다. 방향(150)을 따른 압반들(168, 172)의 동시적인 운동은 상기 카트가 방향(152)에서의 운동 없이도 방향(150)으로 움직일 수 있게 한다. 압반(168)을 정지 상태로 유지하면서 동시에 프레임(156)에 대하여 방향(176)을 따라서 압반(172)을 움직이는 것은 단부 작동체(148, 158)와 기판(152)의 방향(152)을 따라서 반경 방향의 운동을 야기한다.
[00073] 방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따라서 제2 프레임(160)의 선형 운동으로 병진진다. 풀리(186, pulley)는 프레임(156)에 회전 가능하게 결합되고 제2 풀리들(188, 182)를 가진다. 풀리(182)는 방향(180)을 따른 압반(172)의 운동이 풀리(182)를 반대 방향들에서 반대로 적용되는 것과 함께 방향(190)으로 회전될 수 있도록 밴드들(184)로 압반(172)에 결합된다. 풀리들(192, 194)은 프레임(156)에 회전 가능하게 결합된다. 케이블(196)은, 도시된 바와 같이, 지점(198)에서 풀리(188)에 결합되고, 풀리(192)를 둘러싸고, 그리고 제2 프레임(160) 상에서 지점(200)에서 종료된다. 케이블(202)은, 도시된 바와 같이, 지점(198)에서 풀리(188)에 결합되고 시계 반대 방향으로 풀리(188)를 둘러싸고, 풀리(194)를 둘러싸며 제2 프레임(160) 위의 지점(204)에서 종료된다. 이러한 방식으로, 방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따른 제2 프레임(160)의 선형 운동으로 병진된다.
[00074] 도시된 바와 같이, 방향(176)에서의 압반(172)의 선형 운동과 방향(152)을 따른 제2 프레임(160)의 병진된 선형 운동은 단부 작동체(158)를 방향(152)으로 더욱 연장시킨다. 풀리들(210, 212)는 제2 프레임(160)에 회전 가능하게 결합시킨다. 도시된 바와 같이, 케이블(214)은 지점(216)에서 단부 작동체(158)에 결합되고, 풀리(210)를 둘러싸며, 프레임(156)상의 지점(218)에서 종료된다. 케이블(220)은 지점(222)에서 단부 작동체(158)에 결합되고, 풀리(212)를 둘러싸며, 프레임(156) 상의 지점(224)에서 종료된다. 이러한 방식으로, 방향(176)에서의 압반(172)의 선형 운동은 방향(152)을 따른 제2 프레임(160)의 선형 운동으로 병진되며, 이것은 도시된 바와 같이 방향(152)에서 단부 작동체(158)의 연장으로 더욱 병진된다. 케이블 풀리 대신에, 압반들과 단부 작동체들 사이의 전동부(transmission)들은 벨트들, 밴드들 또는 임의의 적절한 재료들로 제작된 임의의 다른 적절한 전동 수단들을 사용할 수 있다. 다른 실시예들에 있어서, 적절한 연결 시스템이 압반들로부터 단부 작동체들로 운동을 전동시키도록 케이블 풀리 대신에 사용될 수 있다. 도 12에 실질적으로 도시된 위치로 단부 작동체(158)가 수축되는 것은 유사하지만 반대의 방식으로 달성된다. 더욱이, 도 12b에 도시된 것과 반대이지만 유사한 위치로의 단부 작동체의 연장은 위에서 설명된 것과 반대의 방식으로 압반들(168, 172)을 움직임으로써 이루어진다.
[00075] 도 12b를 참조하면, 예시적인 처리 모듈(166)로 연장되기 이전에, 카트(229)의 단부도가 도시되어 있다. 도시된 바와 같이, 활강부들(240)은 선형의 경로(150)를 따라서 프레임(156)이 활강될 수 있게 강제한다. 프레임(156)은 자신의 하측부에 동기화 모터(170)와 인터페이스되어 있는 자기 압반들(168)을 가진다. 구동 압반(172)은 동기화 모터(174)와 인터페이스된다. 구동 압반(172)은 화살표(150)로 표시된 방향에 실질적으로 평행한 방향을 따라서 프레임(156)에 대하여 활강될 수 있으며 그것의 하측부 상에 장착된다(도 12 참조). 방향(150)을 따라서 압반들(168, 172)이 동시에 움직이는 것은 방향(152)에서의 운동없이도 화살표(150)로 표시된 방향으로 상기 카트가 움직일 수 있게 한다. 압반들(168)을 정지 상태로 유지하면서 동시에 압반(172)을 프레임(156)에 대하여 압반(172)을 방향(176)을 따라서 움직이는 것은 기판과 단부 작동체(148, 158)의 방향(152)을 따라서 반경 방향의 운동을 야기한다. 압반들(172, 168)은 모터들(170, 174)과 인터페이스되어 있는 자석들을 가질 수 있다. 챔버(244)는 비자성 재료로 제작될 수 있는데, 예를 들어 비자성 스테인레스 스틸로 제작되며, 모터 권선들과 그들의 개별 압반들 사이에 방벽(246, 248)을 제공한다. 다른 실시예에 있어서, 더 많거나 적은 선형의 구동부 또는 카트가 제공될 수 있다. 예를 들어, 단일의 구동 모터가 제공될 수 있는데, 이는 압반들(168, 172)이 동일한 구동 모터와 인터페이스 되지만 상이한 영역에 의해서 독립적으로 구동될 수 있는 부가적인 구동 영역을 가지는 것이다. 다른 예로서, 부가적인 카트들이 상이한 구동 시스템들에 의해서 바닥(250)에서나, 슬롯 개구부와 줄을 맞추어서 그 위의 벽들(252, 254)에서나, 상기 슬롯 개구부들의 아래에서나 또는 챔버의 덮개(256)에서 구동될 수 있다
[00076] 도 13a를 참조하면, 장치(10)의 챔버(716)의 일부 및 상기 장치와 함께 사용될 수 있는 예시적인 카트(700)를 가진 예시적인 구동 시스템(701)의 평면도가 도시되어 있다. 챔버(716)는 장치(18)의 챔버들(602-604)나, 또는 챔버(18)의 다른 대표적인 부분이다 (도 2 및 도 3 참조, 도 7 및 도 7a 참조). 카트(700)는 축방향 경로(704) 및/또는 반경 방향 경로(706)를 따라서 또는 Z 운동(미도시, 종이의 안과 밖으로의 운동)으로 기판들(702A, 702B)을 이송시킬 수 있는 것으로서 도시되어 있다. 다른 실시예들에 있어서, 각도 운동이 제공될 수 있다. 다른 실시예들에 있어서, 더 많거나 또는 더 적은 기판의 취급이 제공될 수 있다. 카트(700)는 선형의 메카니즘일 수 있는 이송 메카니즘들(724A, 724B)을 가지거나, 또는 예를 들어 스카라 유형의 아암과 같은 임의의 적절한 아암 시스템을 사용될 수 있다. 다른 실시예들에 있어서, 아암이 제공되지 않을 수 있다. 이송 메카니즘들(724A, 724B)은 도 12a 에 도시된 것과 유사한 방식으로 원하는 바와 같이 처리 모듈 또는 다른 모듈들로 연장될 수 있다. 카트(700)는 자신의 측부에 압반들(722, 720, 710, 712)를 가지며, 이들은 이송 챔버(716)의 벽들에서 동기화 모터와 인터페이스 된다. 구동 압반(712)은 카트(700)의 측부 상에 장착되며 방향(704)을 따라서 카트(700)에 대하여 활강될 수 있다. 카트(700)에 대하여 방향(704)을 따른 압반(712)의 운동은(위치 712A 로부터 712B 로의, 도 13a 참조) 위치(708A)와 위치(708B) 사이에서 슬롯들(718A, 718B)을 통하여 메카니즘(724A)이 웨이퍼(702A)를 이송시킬 수 있도록, 압반(710)은 메카니즘(724A)을 구동한다. 이와 유사하게, 구동 압반(710)은 카트(700)의 측부 상에 장착되고, 방향(704)을 따라서, 카트(700)에 대하여 활강될 수 있다. 카트(700)에 대하여 방향(704)을 따른 압반(710)의 운동은(위치 710A 로부터 710B로의, 도 13a 참조) 위치(708A)와 위치(708B) 사이에서 슬롯(718A, 718B)을 통하여 메카니즘(724B)이 웨이퍼(702B)를 이송시킬 수 있도록, 압반(710)은 메카니즘(724B)을 구동한다. 압반들(710, 712)은 카트(700)에 대하여 독립적으로 움직일 수 있다. 압반들(722, 720)은 카트(700)에 대하여 고정된다. 압반들(720, 722)을 정지 상태로 유지하면서 방향(704)을 따라서 압반(712)을 동시에 움직이는 것은 방향(706)을 따라서 반경 방향의 전달 운동을 야기한다. 압반들(720, 722)을 정지 상태로 유지하면서 방향(704)을 따라서 압반(710)을 동시에 움직이는 것은 방향(706)을 따라서 분리된 반경 방향의 전달 운동을 야기한다. 방향(704)을 따라서 압반들(720, 722, 710, 712)을 동시에 움직이는 것은 카트(700)가 방향(704)을 따라서 움직이게 하여 - 처리 위치로부터 처리 위치로 예를 들어 밸브(714)를 통해서 카트(700)를 움직일 수 있게 한다.
[00077] 이제 도 13b를 참조하면, 도 13a의 선 13B-13B를 따라서 취한 예시적인 구동 시스템(701)과 카트(700)의 단면도가 도시되어 있다. 또한 도 13c를 참조하면, 도 13b에서 예시적인 구동 시스템(701)의 다른 측단면도가 도시되어 있다. 시스템(701)은 카트(700)를 구동시키는 대향하는 정지 상태의 권선 세트(727, 729)를 가진다. 권선 세트들(727, 729)는 1 차원과 2 차원의 구동 배열들의 조합으로, 예를 들어 수직 방향(705)과 측방향(704)으로 감긴다. 상기 구동 배열은 1 차원 또는 2 차원의 배열들의 선형 모터들 또는 선형 스테핑형 모터들일 수 있다. 이러한 구동 배열들의 예는 미국특허 제4,958,115호, 제5,126,648호, 제4,555,650호, 제3,376,578호, 제3,857,078호, 제4,823,062호에 설명되어 있으며, 이들은 본원에 참조로써 결합된다. 다른 실시예들에 있어서, 일체화된 2 차원 권선 세트들이 2 차원 자석들 또는 패턴들을 가진 압반들과 함께 채용될 수 있다. 다른 대안의 실시예에 있어서, 1 차원 또는 2 차원의 구동 시스템들의 다른 유형들이 채용될 수 있다. 다른 실시예들에 있어서, 부가적인 배열(array)들이 카트(700)를, 예를 들어 시스템(701)을 자신으로부터 90도로 배향된 다른 유사한 시스템에 결합시킴으로써, 상이한 방향들로 구동하도록 제공될 수 있다. 상기 배열들은 다중의 카트들이 독립적으로 구동될 수 있도록 하기 위하여 다중의 영역들로 구동된다. 예를 들어, 영역(685)은 공급 영역일 수 있고, 영역(683)은 전달 영역일 수 있고, 영역(681)은 복귀 영역일 수 있다. 각각의 영역에는 하위 영역이 있을 수 있는데, 상기 하위 영역은 각각의 영역 안에서 다중의 카트들을 구동할 수 있게 한다. 다른 실시예에 있어서, 더 많거나 또는 적은 영역들이나 또는 하위 영역들이 임의의 수의 조합들로 제공될 수 있다. 카트(700)는 권선 세트들(727, 729)에 의해서 발생된 장(field)에 의해 지지되며, 권선 세트들(727, 729) 사이의 장을 바이어스시킴으로써 부양된 비접촉의 방식으로 위치될 수 있다. 도 13c는 도 13d에 도시된 시스템에 의해서 구동될 수 있고, 카트(700)를 부양시키도록(예를 들어 도 14a를 참조하여 아래에 보다 상세하게 설명되거나 또는 다축의 능동적 부양(multiple axis active levitation)을 통해서 부양됨) 채용될 수 있는 하나의 가능한 권선 조합을 도시한다. 1 차원의 권선 세트들이 권선 영역들(732A-C, 730A-C, 734A-C, 742A-B, 그리고 740A-B) 안에 제공된다. 2 차원의 권선 세트들은 권선 영역들(736A-E, 738A-C) 안에 제공된다. 다른 실시예에 있어서, 권선 세트들의 임의의 적절한 조합들이 제공될 수 있거나 또는 완전히 2-차원의 배열 또는 그와 다른 것이 제공될 수 있다. 카트(700)는 압반들(720, 710)을 가지는데, 이들은 압반(720)을 위한 배열(738B) 및 압반(710)을 위한 배열(736B,C 그리고 D)과 조합되어 사용될 수 있다. 압반(710)을 방향(704)으로 움직이고(도 13a 참조) 그리고 압반(702)을 정지 상태로 유지함으로써, 웨이퍼는 슬롯(718A)을 통하여 반경 방향으로 움직일 수 있다. 방향(705)으로 압반들(710, 720)을 동시에 움직임으로써(도 13b 참조), 웨이퍼는 집어올려질 수 있거나 또는 배치될 수 있다. 영역들 사이에서 권선의 전환과 권선의 스위치 작용을 조화시킴으로써, 카트(700)는 상이한 권선과 구동 영역들을 통하여 수직 방향으로 및/또는 측방향으로 선택적으로 움직일 수 있다. 챔버(716)는 권선 세트들(727, 729)과 카트(700) 사이에서 방벽으로서 제공될 수 있다. 다른 실시예에 있어서, 예를 들어 청결한 공기 또는 질소 환경이 존재하는 엔크로져(716, enclosure)의 내측에 권선 세트들(727, 729)이 있는 경우에, 방벽이 존재할 필요가 없다. 다른 실시예들에 있어서, 보다 많거나 또는 적은 압반들 또는 권선들이 제공될 수 있다. 센서들(746, 747, 748)의 배열이 제공될 수 있는데, 이는 압반과 카트의 정밀한 위치 결정을 하도록, 그리고 적절한 전환과 위치를 결정하도록, 또는 압반들과 권선들 사이의 간극과 같은 위치들을 결정하도록, 압반내의 자석 또는 압반 또는 카트(들)의 존재를 감지하기 위하여 제공될 수 있다. 위에서 주목된 바와 같이 카트 식별 표지부에는 스테이션이 카트의 식별부(id)를 결정하도록 적절한 스테이션에 제공된 판독기가 제공될 수 있다.
[00078] 이제 도 14a를 참조하면, 본 발명의 다른 실시예에 따른 다른 예시적인 카트(760)의 단부도가 도시되어 있고, 카트(760)는 단일 축 선형 모터 권선 세트들(762, 764)에 의해서 발생된 장들에 의해 지지되어 있다. 예시적인 카트(760)는 권선 세트들(762, 764) 사이의 장들을 바이어스(776) 시킴으로써 비접촉의 방식으로 위치될 수 있다. 위치 감지부(766, 768)에는 카트(760)를 부양시키도록 폐쇄 루프의 방식으로 바이어스(776)가 제공된다. 상기 부양은 상기 카트가 도 14b 에 도시된 바와 같이 Z 의 방향으로 수동적으로 안정화되므로 이러한 단순한 방식으로 달성될 수 있다. 카트(760)는 자신의 측부 상에 자기 압반들(772, 774)을 가지는데, 이것은 권선 세트들(762, 764)과 인터페이스된 자기 재료들 또는 도전성 재료들로 제작될 수 있거나 또는 자석들을 가질 수 있다. 다른 실시예에 있어서, 더 많거나 적은 압반들이 제공될 수 있어서, 예를 들어 아암을 구동한다. 챔버(770)들이(도 2, 도 3, 도 7, 및 도 7a 에 도시된 바와 같이, 장치의 챔버(18, 602-624)의 임의의 대표적인 부분과 유사함), 예를 들어 비자성 스테인레스 스틸과 같은 비자성 재료로 제작될 수 있으며, 이전에 설명된 바와 같이 모터 권선들과 그들의 개별 압반들 사이에 방벽을 제공할 수 있다. 다른 실시예에 있어서, 더 많거나 또는 적은 선형의 구동부 또는 카트들이 제공될 수 있다. 예를 들어, 부가적인 구동 영역을 가진 단일의 구동 모터가 제공될 수 있는데, 여기에서 압반들은 동일한 구동 모터와 인터페이스 되지만 상이한 영역들에 의해서 독립적으로 구동될 수 있다. 다른 예로서, 부가적인 카트들이 상이한 구동 시스템에 의해서 바닥에서, 슬롯 개구들과 줄을 맞춘 그 위의 벽들이나 또는 슬롯 개구들 아래에서, 또는 챔버의 덮개들에서 구동될 수 있다.
[00079] 도 14b에서 카트(760)의 원하는 위치로부터의 축방향 편향(Z)과 수동적인 복원력(F) 사이의 관계는 그래프로 도시되어 있다. 상기 수동적인 복원력은 예를 들어 카트(760), 권선 셋트들(762, 764) 등의 부분으로서의 강자성 재료의 존재에 일반적으로 기인한다. 개별의 양의 축방향이나 또는 음의 축방향에서 (z 방향), 수동적인 복원력은 처음에 크기에 있어서 FMAX 또는 -FMAX 의 값으로 각각 증가하고 최대의 편향인 ZMAX 또는 -ZMAX 까지 각각 증가하지만, 이러한 편향이 초과될 때 다시 감소한다. 따라서, FMAX를 초과하는 편향적인 힘(예를 들어, 카트 또는 다른 압반들을 구동하는 다른 권선 세트들로부터의 외력들이나 카트의 중량 또는 그와 다른 것)이 카트(760)에 적용되면, 카트는 권선부들(762, 764)로부터 이탈한다. 이와는 달리, 장들이 적용되는 한 카트(760)가 장들 안에 체류하게 될 것이다. 회전 장치에 대하여 미국특허 제6,485,531호, 제6,559,567호, 제6,386,505호, 제6,351,048호, 제6,355,998호 (이들은 본원에 참조로써 결합된다)에 설명된 이러한 원리는 예시적인 카트(760)를 부양시키도록 선형의 방식으로, 여기에 설명된 장치의 구동 시스템(701)에 적용된다. 다른 실시예들에서, 다른 구동 시스템들이나 또는 부양 시스템들이 사용될 수 있다.
[00080] 다시 도 13d를 참조하면, 도 13a에서 카트/압반 구동 시스템(701)과의 사용에 적절한 예시적인 권선 구동 시스템(790)의 다이아그램이 도시되어 있다. 권선 구동 시스템(790)은 권선부들(792), 멀티플렉서(793) 및 증폭기 모듈들(794)을 가진다. 권선부들(792)은 권선들 및/또는 센서들을 가질 수 있는데, 센서들은 홀 센서들, 위치 센서들, 유도 센서들, 운반부 식별 센서들, 상태 및 오류 검출 로직과 회로 또는 다른 것들과 같은 것이다. 증폭기 모듈들(794)은 단일 또는 다중의 위상 증폭기들, 위치 및/또는 존재 센서 입력들 또는 출력들, CPU들 및/또는 메모리, 식별 판독기 입력들 또는 출력들, 상태 및 오류 검출 로직 및 회로 또는 다른 것을 가질 수 있다. 증폭기 모듈들(794)은 직접적으로 권선들(792)에 연결될 수 있거나 또는 멀티플렉서 유니트(793)를 통해서 연결될 수 있다. 멀티플렉서 유니트(793)를 사용할 때, 증폭기들(A1-Am)은 선택적으로 임의의 권선들(W1-Wn)에 연결될 수 있다. 상기 CPU는 이러한 선택적인 연결을 조화시키며 장치의 상태를 모니터한다. 이러한 방식으로, 상기 CPU는 공구를 차단시키지 않으면서도 증폭기 모듈들 또는 권선들은 수리를 위하여 라인에서 선택적으로 배제시킬 수 있다.
[00081] 이전에 주목된 바와 같이, 이송 챔버(18, 602-624) 내에서 사용되기에 적절한 이송 장치 또는 카트들(예를 들어, 도 2, 도 3, 도 7, 도 7a 참조)은 상기 장치 안에서 원하는 위치와 카트 사이에서 반도체 워크피스들을 전달하기 위한 전달 아암을 가지거나 또는 가지지 않는 카트들을 포함할 수 있다. 도 12 및 도 13a는 이전에 설명된 바와 같이 각각 장치에서 반도체 워크피스들을 취급하기 위한 전달 아암들을 가진 이송 카트들(229, 700)의 2 개의 예시적인 실시예를 도시한다. 이제 도 22 와 도 23을 참조하면, 장치(10)의 챔버 안에 사용되기에 적절한 이송 카트 메카니즘(1557)의 다른 실시예가 도시되어 있다. 카트(1557)는 베이스 부분 또는 베이스 플레이트(1558)와 상기 베이스 플레이트에 장착된 전달 아암(1557)을 포함할 수 있다. 도 22 에 도시된 바와 같이, 2개의 결합된 자석 배열들(1502)을 가진 카트 메카니즘 베이스 플레이트(1558)는 상기 플레이트의 대향하는 측부들 상에 있지만, 상기 플레이트의 대향하는 코너들에 제한되지 않는다. 로봇 베이스 플레이트(1558)의 대향 측부의 구석들 위에, 2 개의 부가 자석 배열들(1502)이 선형의 베어링 운반부들(1560)에 결합되며 선형의 베어링 레일들(1562) 상에서 활강하도록 제작된다. 이러한 선형의 베어링 레일(1562)은 베이스 플레이트(1558)에 결합된다. 선형의 운동을 회전 운동으로 전환시키는 구동 벨트(1564) 또는 다른 수단들은 선형의 베어링 운반부(1560)에 부착된다. 도시된 경우에 있어서, 구동 벨트(1564)는 유동 풀리(idler pulley, 1566)의 둘레에 감기고, 다음에 풀리 장력기(pulley tensioner, 1568)에 감기며 구동 풀리(1570)에 부착된다. 자석 배열(1502)을 통하여 베어링 운반부(1560)에 적용된 선형의 운동은 구동된 풀리(1572)의 회전 운동을 초래할 것이다. 2개 자유도를 적용하는 경우에, 설명된 바와 같은 메카니즘의 여분의 것이 로봇 카트 메카니즘의 대향하는 측부에 적용되고 똑같은 회로가 구동 풀리에 부착된다. 이러한 조합은 동심상(concentric)의 풀리 조립체를 산출한다. 결합된 자석 배열(1502) 및 선형의 베어링 운반부(1560)와 고정된 자석 배열(1502) 사이의 상대적인 운동은 전달 아암의 연결부를 구동하는 수단을 제공한다. 로봇 운반부의 선형 이송의 경우에, 선형의 베어링/자석 배열(1560/1502)과 결합된 자석 배열/카트 베이스 플레이트(1502/1558)는 고정된 세트로서 구동되며 구동된 풀리(1570 & 1572)의 회전은 보이지 않는다. 베이스 플레이트(1558)의 구동 메카니즘은 다른 적절한 전달 아암 연결부를 작동시키는데 사용될 수 있는데, 일부의 예들이 도 24 내지 도 24c, 도 25 내지 도 25c 에 도시되어 있다. 도 23에 도시된 실시예에서 전달 아암(1577)은 일반적인 단일 스카라(SCARA) 아암 구성을 가진다. 구동 풀리(1572)는 하부 링크 아암(1574)에 결합되고 구동 풀리(1570)는 전방 아암 구동 풀리(1586)에 결속된다. 전방 아암 풀리(1586)의 회전 운동은 구동 벨트(1582)와 엘보우 풀리(elbow pulley, 1576)를 통하여 전방 아암(1578)에 결합된다. 손목(wrist)/단부 작동체(1584)는 하부 링크 아암(1574)으로 내려질 때 손목 엘보우 풀리(1580)에 대한 전방 아암(1578)의 결과적인 상대 회전 운동에 의해 구동된다. 통상적으로, 이러한 운동은 풀리들(1572, 1570)의 입력 구동 비율에 대한 각각의 접합부에서의 풀리 비율에 의해 달성된다. 또한 도 23a 내지 도 23b를 참조하면, 전달 아암 연결부(1577)는 수축되고 연장된 위치들에서 각각 도시되어 있다. 수축되고 연장된 위치들 사이에서의 운동은, 상기 베이스 플레이트에 대하여 원하는 바와 같이, 가동가능한 자석 배열(1502)을 움직임으로써 (위에서 설명된 방식으로) 달성된다. 상기 아암 연결부의 운동은 상기 이송 챔버에 대하여 정지 상태이거나 또는 움직이는 카트로써 수행될 수 있다. 도 23a 내지 도 23b는 연장되었을 때 아암(1577)이 상기 카트의 측방향 측부(1576R)(즉, 챔버 벽을 면하는 카트의 측부)로 연장되도록 위치된 전달 아암(1577)을 도시한다. 이것은 도 13a에서 카트(700)의 전달 메카니즘(724A, B)의 신장/수축 운동과 유사하다. 이해될 수 있는 바로서, 카트(1557) 상의 전달 아암(1577)은 상기 카트 베이스 플레이트에 대하여 임의의 원하는 배향으로도 회전(S)의 축을 중심으로(도 22 참조) (가동의 자석 배열(1502)을 사용하여) 단위체(unit)로서 회전될 수 있다. 예를 들어, 도 23a 내지 도 23b에 도시된 배향으로부터 약 180도로 회전된다면, 전달 아암(1577)은 도 23b에 도시된 것으로부터 대향 측부(1575L)로 연장될 수 있다. 더욱이, 아암의 신장이 상기 챔버의 선형 방향을 따라서 있도록(도 22에서 화살표(15X)로 표시된 바와 같이), 상기 전달 아암이 약 90도로 회전될 수 있다. 임의의 수의 아암 연결부들도 그러한 카트와 함께 채용될 수 있다. 상기 카트와 함께 사용될 수 있는 적절한 아암 연결부의 다른 예들은 미국 특허 제5,180,276호, 제5,647,724호, 제5,765,983호, 제6,485,250호에 설명되어 있으며, 이들은 본원에 참고로써 결합된다.
[00082] 도 24 는 카트 베이스 플레이트(1558')에 장착된 이중(dual)의 회전 단부 작동체들을 가진 카트 메카니즘(1557')의 다른 실시예에 대한 입면도이다. 카트(1557')는 도22 내지 도 23에 도시되고 이전에 설명된 카트(1557)와 유사하다. 유사한 특징들은 동일한 번호들로 표시하였다. 도 24a 내지 도 24c는 상기 카트가 움직이고 있을 때 상기 베어링 운반부 배열의 결합 상대 운동과 선형 이송의 사용을 도시한다. 도 22를 참조하여 이전에 설명된 바와 같이, 풀리(1570', 1572')의 회전은 상기 카트의 베이스 플레이트에 결합된 고정된 자석 배열들에 대하여 움직이는 자석 배열과 베어링 운반부로부터 초래된다. 조합된 경우에 있어서, 상기 로봇 카트 이송부는 화살표(15X')에 의해 표시되는 방향으로 선형의 챔버를 따라서 움직이고, 상기 베어링 운반부와 상기 자석 배열은 바닥에 있는 배열에 대하여 움직인다. 이러한 운동은 단부 작동체(들)(1588', 1590')가 회전될 수 있게 하여, 로봇 단부 작동체가 이전에 설명된 도 22a 내지 도 23b 에 유사한 카트의 선형 방향에 실질적으로 직각으로 연장되게 한다. 도 24a 내지 도 24c는 예를 들기 위한 목적으로 일 측으로 연장된 단부 작동체들(1588', 1590')를 도시한다. 그러나, 이해될 수 있는 바로서, 단부 작동체들(1588', 1590')는 상기 베이스 플레이트의 임의의 측으로라도 연장될 수 있다. 더욱이, 단부 작동체들(1588', 1590')는 베이스 플레이트의 임의의 측으로라도 연장될 수 있다. 더욱이, 단부 작동체들(1588', 1590')은 상기 단부 작동체가 도 24a 내지 도 24c 에 도시된 바와 같이 약 90도보다 크거나 작은 각도로 배향되는 위치로 연장될 수 있다.
[00083] 도 25 는 카트(1557")의 다른 실시예들에 대한 개략적인 입면도로서, 이것은 도 23에 도시된 것과 유사한 아암 연결부를 가진다. 이러한 경우에, 구동 풀리(1572")는 하부 링크 아암(1592")에 부착된다. 구동기 풀리(1570")는 단부 작동체 구동기 풀리(1600")에 결합되고 구동 벨트(1598")를 통해서 엘보우 풀리(1596")에 결합된다. 상기 엘보우 구동 풀리는 로봇 단부 작동체(1594")에 부착되어, 구동기 풀리(1570")의 회전을 구동 단부 작동체(1594")로 전동시키는 수단을 제공한다. 도 24a 내지 도 25c는 3 개의 상이한 위치들에서 아암 연결부를 가진 카트를 도시한다. 도 25a 내지 도 25c는 단지 예를 들기 위한 목적으로 상기 카트의 베이스 플레이트(1558")의 일측으로 연장된 단부 작동체(1594")를 도시한다. 도 22 내지 도 23과 도 24 에 도시된 전달 아암과 유사하게, 상기 단부 작동체가 카트(1557")의 베이스 플레이트(1558")에 대하여 임의의 방향으로도 신장되고/수축될 수 있도록, 전달 아암(1577")은 축(S")을 중심으로 회전될 수 있다. 이제 도 2 내지 도 7a를 참조하면, 관절화된(articulated) 전달 아암들을 가진 카트들(도 12, 도 13a, 도 22, 도 23, 도 25 에 도시된 카트(22, 122A, 406, 229, 700, 1557, 1557', 1557"))을 사용하는 것의 현저한 장점은 주어진 전달 아암의 도달 범위에 대하여 전달 챔버에 최소의 폭이 제공될 수 있다는 점이다. 상이한 카트 실시예들에서 전달 아암들의 다축 관절은 상기 관절 아암의 경로에 대하여 상기 카트의 실질적으로 독립적인 배치를 허용하는데, 이것은 다시 이송 챔버(18)의 폭이 최소로 감소될 수 있게 한다. 마찬가지로, 상기 저장 처리 모듈을 상기 이송 챔버로 연결하는 통로와 슬롯 밸브들의 폭은 최소의 크기로 감소될 수 있다.
[00084] 이제 도 15를 참조하면, 장치(10)와 함께 사용되기 위한 예시적인 웨이퍼 정렬기(500)가 도시되어 있다. 웨이퍼 정렬 운반기(500)는 전체적으로 2 개의 부분을 구비할 수 있는데, 웨이퍼 척(chuck, 504)과 웨이퍼 이송 운반기(502)이다. 상기 정렬기는 선형의 카테시안 이송 공구 내에서 웨이퍼의 정렬과 운동을 제공한다. 상기 정렬기는 장치 내에서 이송 카트(들)과 인터페이스 되도록(예를 들어 카트들(22, 122A, 406, 700, 1577)에서와 같음) 만들어지거나 또는 일부의 경우에는 선형의 공정 공구 구성의 로봇 카트 안에 포함될 수 있다.
[00085] 도 16을 참조하면, 웨이퍼 척(504)은 웨이퍼 이송 운반기(502)로부터 분리될 수 있는 것으로 도시되어 있다. 마찰 패드들은 상기 선형의 카테시안 장치의 도처로 이송되는 동안에 2 개의 장치들을 결합시킬 수 있다. 분해되었을 때, 웨이퍼 척(504)은 웨이퍼 이송 운반기(502)에 대하여 자유로이 회전된다. 웨이퍼 척(504)은 기판(웨이퍼)(506)에 대하여 각도로 경사진 웨이퍼 에지 패드들(edge pad)(508)를 사용함으로써 수동적인 웨이퍼 에지 지지의 수단을 제공한다. 웨이퍼 척(504)의 부분으로서의 부가적인 특징은 웨이퍼를 제거하고 웨이퍼 운반기(500) 상으로 배치하는 로봇 아암 카트의 성능을 위해서 웨이퍼(506)의 아래에 있는 부조(relief)이다. 이것은 웨이퍼 제거 간극 영역(510)으로서 식별된다.
[00086] 상기 선형 이송 카트에 대한 이러한 웨이퍼 회전의 방법은 상기 로봇의 단부 작동체에 직접적으로 적용될 수 있다. 이러한 방법은 도 17 에 도시되어 있다. 로봇 아암 카트(534)는, 웨이퍼 척(504)이 로봇의 단부 작동체(536)로부터 제거될 수 있도록 구성된다. 이러한 경우에, 상기 처리 모듈들 또는 상기 적재 잠금부들에서 발견된 강하 지점의 변화들에 기초하여 상기 척은 임의의 약간의 웨이퍼 노치(notch)에 대해서도 배향 요건들들을 교정하도록 자유로이 회전된다.
[00087] 도 18을 참조하면, 웨이퍼 척 회전 장치(532)가 도시되어 있다. 선형의 이송 공구 안에 있는 다중의 지점들에서, 이러한 회전상의 요부(well)들이 전개될 수 있다. 이러한 장치는 미국 특허 제5,720,590호에서 발견되는 모터 격리 기술들에서 기초하고 있으며 상기 특허는 본원에 참조로서 결합된다. 다른 실시예들에 있어서, 종래의 모터와 밀봉의 결합이 사용될 수 있다. 정지 상태의 모터(522)는 선형의 이송 챔버의 베이스(530)에 장착된다. 진공 격리 방벽(520)은 모터 전기자(540, motor armature)와 자석 배열(524) 사이에 배치된다. 상기 자석 배열은 회전 샤프트(542)에 직접적으로 장착된다. 이것은 상기 진공 시스템의 안으로 직접적인 구동 결합을 가능하게 한다. 가능한 지지용 베어링(518)이 필요할 수 있지만, 이상적으로는 자기 서스펜션(suspension)이 사용된다. 회전 샤프트(542)의 각도에 대한 위치 피드백을 제어기에 제공하는 위치에 배치된 판독 헤드(528)와 함께 광학 엔코더 디스크(526)가 회전 샤프트(542)에 부착된다. 정렬 척(504)은 마찰 패드들 또는 운동학적 핀(들)(516)으로 내려진다. 일단 웨이퍼 척(504)이 웨이퍼 운반기(502) 또는 로봇의 단부 작동체(536)로부터 분리된다면, 패드들/핀들은 웨이퍼 척(504)의 회전 수단을 제공한다. 회전을 제공하는 이러한 동일한 수단은 도 17 에 도시된 로봇 아암 운반기의 일부로서 적용된 로봇 아암 연결부(538)의 회전 위치를 제어하도록 적용될 수 있다.
[00088] 또한 도 19를 참조하면, 웨이퍼 척(504)과 상기 웨이퍼 이송 운반기를 포함하는 웨이퍼 이송 운반기(500)가 웨이퍼 척 회전 장치(532)의 위의 위치로 움직인다. 도 20 에 있어서, 상기 웨이퍼 이송 운반기는 웨이퍼 척(504)이 이송 운반기(502) 상에 올려지도록 낮춰진다. 이송 챔버 뚜껑(546)에 위치된 카메라(544)는 웨이퍼의 이미지를 바라보고 웨이퍼의 x-y 위치와 웨이퍼의 노치의 위치 각도를 식별할 수 있다. 상기 웨이퍼 운반기는 다음에 웨이퍼 이송 운반기(502)에 대하여 웨이퍼 척(504)의 x-y 위치 변화를 제공하도록 움직일 수 있으며, 상기 회전은 노치 정렬을 교정하도록 제공될 수 있다. 로봇 아암 운반기 장치의 방법으로서 사용되었을 때, 상기 웨이퍼 척의 회전 구동의 다른 사양(option)은 상기 로봇 링크 아암을 연장시키고 상기 처리 모듈 또는 상기 적재 잠금부로부터 기판 또는 웨이퍼를 하강/상승시킬 수 있는 운동의 수직축을 요구하면서 회전상의 맞물림을 허용하는 것이다. 이러한 접근 방법은 도 21 에 개략적으로 도시되어 있다. 정지 상태의 모터(522)는 안내된 플레이트(548)에 장착된다. 안내된 플레이트는 금속 벨로우즈(550, bellows) 또는 다른 선형의 격리 밀봉(립(lip) 밀봉, O-링 등)을 통해서 상기 선형 이송 챔버의 베이스(530)에 부착된다. 진공 격리 방벽(520)은 모터 전기자(540)와 자석 배열(524) 사이에 배치된다. 상기 자석 배열은 회전 샤프트(542)에 직접적으로 장착된다. 이것은 진공 시스템 안으로의 직접적인 구동 결합을 허용한다. 가능한 지지용 베어링(518)이 필요할 수 있지만, 이상적으로는, 자기 서스펜션이 사용된다. 판독 헤드(528)가 회전 샤프트(542)의 각도에 대한 위치 피드백을 제어기에 제공하는 위치에 배치되면서 광학 엔코더 디스크(526)가 회전 샤프트(542)에 부착된다. 이동 정지부(556)의 단부를 가진 지지용 구조(554)와 부가적인 안내 롤러(552)는 작동 장치로서 선형의 웨이퍼 이송 운반기(500)를 사용하기보다는 웨이퍼 척 또는 로봇 아암과 맞물리는데 필요한 바로서 회전 구동이 위치되어 유지될 수 있게 한다. 상기 이송 챔버가 가압되어 상기 로봇 구동부가 위로 위치되는 상태를 초래하는 경우에, 상기 벨로우즈의 힘은 스프링으로서 작용할 것이며, (집어올리거나 또는 배치하는 동안에) 회전 장치가 다양한 선형 로봇 아암 카트의 수직 높이들과 맞물릴 수 있게 하지만 실제적으로 제한된 수직의 이동 범위에 대해서만 맞물리게 한다. 일단 장치가 맞물리면, 마찰 패드들 또는 운동학적 핀(들)(516)이 제공된다. 일단 웨이퍼 척(504)이 도 20에 도시된 바와 같이 웨이퍼 운반기(502)나 로봇의 단부 작동체(536)로부터 분리되면, 이러한 패드들/핀들은 웨이퍼 척(504)의 회전 수단을 제공한다. 회전을 제공하는 이러한 동일한 수단은 도 17에 도시된 로봇 아암 운반기의 부분으로서 적용된 로봇 아암 링크(538)의 회전 위치를 제어하도록 적용될 수 있다.
[00089] 도 2 내지 도 7 에 도시된 것과 같은 시스템들은 제어기(C)에 저장된 구성 가능하고 스케일(scale) 가능한 소프트웨어에 의해서 제어될 수 있다. 이제 도 26을 참조하면, 처리 시스템에 통신 가능하게 연결된 제어기(C)에 제공될 수 있는 제조 수행(manufacturing execution, "MES") 시스템 소프트웨어가 도시되어 있다. MES 시스템(200)은 MES의 성능들을 향상시키는 소프트웨어 모듈(2002-2016) 또는 사양들을 구비한다. 상기 모듈들은 재료 제어 시스템("MCS")(2002), 실시간 발송기(dispatcher) ("RTD")(2004), 작업 흐름(workflow) 또는 활동 관리기("AM")(2006), 엔지니어링 데이터 관리기("EDA")(2008) 및 컴퓨터 유지 관리 시스템("CMMS")(2010)을 포함한다.
[00090] MES(2002)는 제조자들이 그들의 공장 자원들을 구성하고, 계획을 처리하며, 재고품 목록과 주문들을 추적하고, 제품 데이터를 수집하고 분석하며, 장비를 모니터하고, 작업 주문들을 제조 작업자들에게 발송하고, 그리고 최종 제품들로의 구성품의 소비를 추적한다. MCS 소프트웨어 모듈(2002)은 제조자에게 개별 카트들(예를 들어, 도 2, 도 3, 도 7, 도 7a, 도 12, 도 13a 및 도 22)의 시간표를 효과적으로 작성하여 전체적인 시스템 효율을 최대화하도록 처리 공구들에 도달되게 한다. 상기 MCS는 개별 카트가 특정한 처리 공구(예를 들어, 도 7 의 처리(18A, 18B) 및, 도 7a 의 모듈(602-626))에 도달되고 그로부터 떠날 때의 시간표를 작성한다. 상기 MCS는 각각의 처리 공구에서 임의의 대기와 절차 요구들을 관리하며, 카트 이송 주기 시간을 최소화시키면서 시스템의 산출을 최적화시킨다.
[00091] RTD(2004)는 제조자들이 처리 공구들의 건전성으로부터의 피드백에 기초하여, 실시간으로, 카트 절차(cart routing)의 결정을 할 수 있게 한다. 부가적으로, 카트 절차의 결정들은 MES 작업자에 의해서 이루어질 수 있다. 상기 MES 작업자는 특정의 제품이 제조될 필요가 있는 우선 순위를 변화시킬 수 있다.
[00092] AM(2006)은 제조자들이 전체적인 제조 공정을 통해서 하나 또는 그 이상의 기판들을 구비하는 임의의 주어진 카트의 진행을 모니터할 수 있게 한다. 처리 공구가 에러를 발생시키면, AM(2006)은 상기 처리 공구에서 처리되고 있는 모든 기판들에 대한 최적의 남아 있는 경로를 결정한다. EDA(2008)는 상기 처리 공구의 효율을 향상시키려는 노력으로 제조자들 제조 데이터를 분석할 수 있게 하고 그러한 데이터에 근거한 통계학적 처리 제어 알고리듬을 수행할 수 있게 한다. CMMS(2010) 시스템은, 유지 관리가 개별의 처리 공구에 필요할 때를, 제조자가 예측할 수 있게 한다. 상기 처리 공구의 처리에서의 변화가 모니터되고, 공지의 처리 결과에 대하여 비교되어, 처리에 대한 변화 또는 처리 공구에 대한 예정된 수리 작업이 예측된다.
[00093] 이송 장치(22)의 운동은 제어하기 위한 예시적인 구동 시스템들을 설명하기로 한다. 이송 장치(22A)은 상술한 이송 장치(122A, 122B), 카트(406), 카트들(229, 700)과 유사할 수 있다. 상기 구동 시스템들은 이송 장치(22)의 다양한 자유도에 영향을 주는 전자기적 원리들을 사용하는 선형 모터들로서 구현될 수 있고, 추진, 상승 및 인도 제어, 기울어짐(yaw), 피치 앤드 롤(pitch and roll) 및 아암 작동(actuation)을 제공한다.
[00094] 예시적인 구동 시스템들릉 상술한 바와 같은 선형 모터(30)의 실시예들(도 2) 및 영역지어진 선형 모터 구동들일 수 있다. 상기 실시예들은, 적어도 모터 권선들 및 제어 전자 장치들과 같은 능동적 구성 요소들의 수를 최소화함으로써, 감소된 복잡성, 낮은 비용, 및 높은 신뢰성을 제공한다. 특히, 능동적이고 폐쇄 루프 제어를 요구하는 자유도들의 수는 최소화되고, 분리된 전용의 인도 권선들은 제거되고, 또한, 종래의 2 채널 모터 증폭기들를 사용하여 수행될 수 있도록 제어는 분리된다. 부가적으로, 일부 실시예들에 있어서, 상기 시스템의 효율은 수동적 자기력들의 사용에 기인하여 개선되고, 냉각 요구들과 동작 비용들을 감소시킨다.
[00095] 도 27의 예시적인 실시예를 참조하면, 본 명세서에 개시된 상기 구동 시스템들은 전체적으로 이송 장치(22)와 연결된 적어도 하나의 영구 자석(2700), 상기 영구 자석의 자기장과 상호 작용하는 정지 상태의 권선들(2710), 및 정지 상태의 권선들(2710)을 구동하기 위한 제어 전자 장치들(2715)을 포함한다.
[00096] 제어 전자 장치들(2715)은 제어 전자 장치들(2715)을 제어하는 프로그램들을 가지는 적어도 하나의 컴퓨터로 독취 가능한 매체(2725)를 구비하는 CPU(2720)를 포함할 수 있다. 멀티플렉서(2730)와 상기 정지 상태의 권선들을 구동하는 증폭기들을 포함하는 다른 구동 전자 장치들(2735)을 또한 사용할 수 있다. 인터페이스(2745)는 이송 장치 위치에 연관된 명령들 또는 인가되는 힘을 수신하기 위하여 포함될 수 있다. 명령들은 사용자로부터, 상기 기판 처리 장치의 제어기로부터, 또는 다수의 기판 처리 장치를 제어하는 제어 시스템으로부터 수신할 수 있다.
[00097] 제어 전자 장치들(2715)는 정지 상태의 권선들(2710)을 구동하고, 영구 자석(2700)과 이에 상응하여 이송 장치(22)에 힘을 인가한다. 따라서, 제어 전자 장치들(2715)는 이송 장치(22)의 개방 및 폐쇄 루프 좌표 제어를 위하여 원하는 추진, 상승, 및 인도력들을 능동적으로 형성하도록 상기 정지 상태의 권선들을 구동한다. 상기 인가된 힘들은 이송 장치(22)가 이송 챔버(18) 내에서 움직일 수 있도록 하거나, 또는 이송 장치(22)가 유지 위치를 유지할 수 있도록 한다. 또한, 본 명세서에 설명된 상기 구동 시스템들은 이송 챔버들(18, 602-624, 716) 또는 임의의 다른 이송 챔버와 함께 사용하기에 적절하다.
[00098] 또한, 상기 구동 시스템 전자 장치들은 이송 장치(22)의 위치를 감지하기 위한 센서들을 포함할 수 있다. 상기 센서들은 적어도 하나의 영구 자석(2700)이 근접하는 것을 감지할 수 있고, 이송 챔버(18) 내의 이송 장치(22)의 위치를 결정하기 위한 다른 위치 피드백 장치들을 포함할 수 있다.
[00099] 또한, 상기 구동 시스템의 실시예들은 정지 상태의 강자성 요소들과 영구 자석(2700)을 포함하는 강자성 구성 요소들을 포함할 수 있고, 상기 정지 상태의 강자성 요소들이 영구 자석(2700)의 자기장과 상호 작용하도록 배열된다. 상기 정지 상태의 강자성 요소들은, 선택적인 실시예들에 존재할 수 있으며, 이송 장치(22)의 자유도들의 서브세트(subset)를 충분히 안정화시키거나, 이송 장치(22)의 무게를 균형잡히게 하는 수동적 자기력들을 제공한다. 또한, 이러한 수동적 힘들은 전력 손실에 대한 안정적인 착륙 수단을 제공할 수 있다.
[000100] 적어도 이송 장치(22)의 위치들 또는 좌표들의 일부와 이송 장치(22)에 작용하는 힘들은 3 축 좌표 시스템 (x, y, z)의 개념으로 정의될 수 있고, 여기에서, x 축은 추진 방향으로 표시되고, y 축은 상기 추진 방향에 수직인 인도 방향으로 표시되고, z 축은, 일반적으로 수직 방향인, 상승 방향으로 표시되며, 상기 x, y, 및 z 축들은 서로에 대하여 모두 수직이다. 도 27에서, 상기 x 및 y 축들이 도시되어 있고, 상기 z 축은 종이의 표면에 대하여 수직으로 연장된다.
[000101] 도 28a 내지 도 28d 및 도 29a 내지 도 29c는 도 27과 유사하지만 상이한 권선 구성들을 가지는 실시예들을 도시한다.
[000102] 도 28a 내지 도 28d는, 개략적인 형태로서, 수동적 상승, 피치 앤드 롤 안정성, 및 폐쇄-루프 추진, 인도, 및 기울어짐(yaw) 제어를 제공하는 구동 시스템 실시예와 함께 이송 장치(22)를 도시한다. 도 28a는 이송 챔버(18) 내의 이송 장치(22)의 단면도를 도시하고, 도 28b는 이송 챔버(18) 내의 이송 장치(22)의 전면도 또는 후면도를 도시한다. 능동적 자기력들이, 예를 들어 이송 챔버(18)의 표면(2810)에 인접한 두 개의 위치들에서, 독립적인 정지 상태의 권선들(2800)으로서 제공된다.
[000103] 상기 위치들과 상기 힘들은 하기의 정의와 측정 단위들을 사용하여 설명될 수 있다.
x = x 축을 따른 이송 장치(22)의 위치 (m)
Y = y 축을 따른 이송 장치(22)의 위치 (m)
yF = y 축을 따른 이송 장치(22)의 전방(front)의 위치 (m)
yR = y 축을 따른 이송 장치(22)의 후방(rear)의 위치 (m)
z = z 축을 따른 이송 장치(22)의 위치 (m)
Fx = 이송 장치(22) 상의 x-방향의 전체 힘 (N)
Fy = 이송 장치(22) 상의 y-방향의 전체 힘 (N)
Fz = 이송 장치(22) 상의 z-방향의 전체 힘 (N)
Mx = x-축에 대한 움직임 (Nm)
Rx = x-축에 대한 회전 (rad)
My = y-축에 대한 움직임 (Nm)
Ry = y-축에 대한 회전 (rad)
[000104] 이송 챔버(18)의 하나의 측부로서 도시되어 있다고 하여도, 표면(2810)은 이송 챔버(18)의 바닥, 측부, 천장, 또는 임의의 다른 표면일 수 있다. 이송 챔버(18)는 비자성 재료, 예를 들어 비-자성 스테인레스 스틸로 구성될 수 있고, 표면(2810)은 권선들(2800)과 이송 장치(22) 사이에 방벽을 제공할 수 있다.
[000105] 자석들(2815, 2820)은 이송 장치(22)의 대향 측부들(2825, 2830) 상에 각각 위치할 수 있다. 정지 상태의 강자성 요소들(2835, 2840)은 자석들(2815, 2820)에 인접하여 각각 위치할 수 있다. 일부 실시예들에 있어서, 정지 상태의 강자성 요소들(2835, 2840)은 권선들(2800)의 철 코어(iron core), 또는 철 백킹(iron backing)의 부분으로서 형성될 수 있고, 또는 이송 챔버(18)의 구조의 부분으로서 결합될 수 있다. 다른 실시예들에 있어서, 정지 상태의 강자성 요소들(2835, 2840)은 이송 챔버(18)의 외부 또는 내부에 위치할 수 있다. 정지 상태의 강자성 요소들(2835, 2840)과 자석들(2815, 2820)을 포함하는 상기 강자성 구성 요소들은 하나 또는 그 이상의 상승, 피치 앤드 롤, 인도, 및 기울어짐 힘들을 포함하는 수동적 안정화 힘들을 전체적으로 제공하도록 상호작용할 수 있다.
[000106] 이송 장치(22)는 하나 또는 그 이상 위치 피드백 장치들(2845, 2850)을 포함할 수 있고, 이송 챔버(18)는 하나 또는 그 이상 센서들(2855, 2860)을 포함할 수 있다. 위치 피드백 장치들(2845, 2850)은 위치 피드백 장치(340)(도 10)와 유사할 수 있고, 센서들(2855, 2860)은 상술한 센서(336) (도 10)와 유사할 수 있다.
[000107] 이러한 실시예 및 본 명세서에 개시된 실시예들에서, 센서들 또는 센서들(2885, 2890)의 배열들은, 자석들, 예를 들어 자석들(2815, 2820) 또는 다양한 형태들로 상기 이송 장치에 결합된 자석들 또는 자기 압반들의 임의의 배열의 존재를 감지하도록 제공될 수 있다. 또한, 센서들(2885, 2890)은 상기 이송 장치 자체 또는 상기 이송 장치의 부분일 수 있는 강자성 재료를 감지할 수 있다. 상기 센서들은 1차원, 2차원, 또는 3차원의 위치를 결정하도록 사용될 수 있다. 위치 정보는 이송 장치(22)의 권선의 적절한 전환을 결정하기 위하여 사용될 수 있다.
[000108] 제어 전자 장치들(2715)(도 27)는 이송 장치(22)의 x, yF, 및 yR 좌표들의 폐쇄 루프 제어를 제공하는 방식으로 정지 상태의 권선들(2800)을 구동하고, yF 는 이송 장치(22)의 전방(2865)의 위치를 나타내고, yR 는 이송 장치(22)의 후방(2870)의 위치를 나타낸다. 자석들(2815, 2820)을 포함하는 강자성 구성 요소들과 정지 상태의 강자성 요소들(2835, 2840)의 조합은 z, Rx, 및 Ry 위치 좌표들의 수동적 제어를 제공한다.
[000109] 일부 실시예들에 있어서, 자석들(2815, 2820)을 포함하는 강자성 구성 요소들과 정지 상태의 강자성 요소들(2835, 2840)의 조합은 이송 장치(22)에 수동적 힘들을 인가하도록 동작될 수 있고, 정지 상태의 권선들(2800)을 구동하는 제어 전자 장치들(2715)은 이송 장치(22)에 하기와 같은 로렌츠(Lorentz) 또는 맥스웰 힘들(Maxwell forces)을 인가하도록 동작될 수 있다.
Fx = FxRF + FxRR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR; 여기에서, FyLF, FyLR는 수동적 힘들로서 인가되고, FyRF, FyRR 은 맥스웰 및/또는 로렌츠 힘들로서 인가됨; 및
Fz,, Mx,, My, 수동적 힘들로서 인가됨.
[000110] 도 28c는 이송 장치(22)에 인가된 위치 좌표들과 힘들의 일부를 도시한다.
[000111] 도 28d는 이송 장치(22)에 인가된 위치 좌표들과 힘들의 일부를 도시하고, 상기 이송 장치는, 예를 들어, 상술한 바와 같은 카트(229) (도 12a)와 유사한 운동 수행을 위한 두 개의 부분들을 포함한다. 작동은 이송 장치(22)의 전방(2875) 및 후방(2880) 부분들의 상대적인 운동을 개별적으로 야기하는 독립적인 권선들을 구동하여 수행될 수 있다. 이는 부가적인 증폭기 채널들을 갖지 않고 수행될 수 있다.
[000112] 도 28a 내지 도 28d에 도시된 실시예들에 있어서, 부가적인 장치에 의하여 z-방향으로의 운동이 제공될 수 있다.
[000113] 도 29a 내지 도 29c는 폐쇄 루프 추진, 인도 및 기울어짐 제어를 따라서, 이송 장치(22)의 수동적 상승, 피치 앤드 롤 안정성을 제공하는 구동 시스템의 예시적인 실시예를 도시한다. 도 29a는 이송 챔버(18) 내의 이송 장치(22)의 단면도를 도시하고, 도 29b는 이송 챔버(18) 내의 이송 장치(22)의 정면도 또는 후면도를 도시한다. 폐쇄 루프 제어된 힘들은 이송 장치(22)의 대향 측부들 상에 각각 위치한 자석들(2920, 2925)과 상호 작용하는, 이송 장치(22)의 각각의 측부에 위치한 하나 또는 그 이상 권선들(2910, 2915)에 의하여 제공된다. 또한, 권선들(2910, 2915)은 폐쇄 루프 인도 제어 및 기울어짐 안정성을 제공할 수 있다. 상승, 피치 앤드 롤은 수동적 힘들에 의하여 안정화될 수 있다. 본 실시예의 z-방향의 운동은, 필요한 경우에는, 외부 장치에 의하여 제공될 수 있다.
[000114] 독립적인 권선들(2910, 2915)은 이송 장치(22)의 대향 측부들 상에 위치할 수 있고, 이송 챔버(18)의 대향 측부들 내에 삽입될 수 있고, 이송 챔버(18)의 대향 측부들에 외부에 위치할 수 있고, 또는 이송 챔버(18)의 내부에 위치할 수 있다.
[000115] 수동적 수명, 피치 앤드 롤 안정성에 대하여, 본 실시예는 또한 서로 인접하게 각각 위치한 정지 상태의 강자성 요소들(2935, 2940)과 자석들(2920, 2925)을 포함하는 강자성 구성 요소들을 포함할 수 있다. 다른 실시예들과 유사하게, 정지 상태의 강자성 요소들(2935, 2940)은 권선들(2800)의 철 코어, 또는 철 백킹(backing)의 부분으로서 형성될 수 있고, 또는 이송 챔버(18)의 구조의 부분으로서 결합될 수 있다. 다른 실시예들에 있어서, 정지 상태의 강자성 요소들(2935, 2940)은 이송 챔버(18)의 외부 또는 내부에 위치할 수 있다.
[000116] 상술한 실시예들과 유사하게, 이송 장치(22)는 하나 또는 그 이상 위치 피드백 장치들(2945, 2950)를 포함할 수 있고, 이송 챔버(18)는 하나 또는 그 이상 센서들(2955, 2960)를 포함할 수 있다. 위치 피드백 장치들(2945, 2950)은 위치 피드백 장치(340)(도 10)와 유사할 수 있고, 센서들(2955, 2960)은 상술한 센서(336)와 유사할 수 있다. 제어 전자 장치들(2715)(도 27)은 위치 피드백 장치들(2945, 2950)와 센서들(2955, 2960)과 연결들을 포함할 수 있고, 이송 장치(22)의 위치를 결정하기 위하여 장치들과 센서들로부터 신호들을 사용할 수 있다.
[000117] 제어 전자 장치들(2715)(도 27)은 이송 장치(22)의 x, yF, 및 yR 좌표들의 폐쇄 루프 제어를 제공하도록 정지 상태의 권선들(2910, 2915)을 구동하고, 여기에서 yF는 이송 장치(22)의 전방(2965)의 위치를 나타내고, yR는 이송 장치(22)의 후방(2970)의 위치를 나타낸다. 자석들(2920, 2925)과 정지 상태의 강자성 요소들(2935, 2940)의 조합은 z, Rx, 및 Ry 위치 좌표들의 수동적 제어를 제공한다.
[000118] 제어 전자 장치들(2715)는 이송 장치(22)에 로렌츠 또는 맥스웰 힘들을 인가하는 방식으로 정지 상태의 권선들(2910, 2915)을 구동하고, 자석들(2920, 2925)과 정지 상태의 강자성 요소들(2935, 2940)의 조합은 하기와 같은 이송 장치(22)에 수동적 힘들을 인가하도록 동작될 수 있다.
Fx = FxRF + FxRR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR; 맥스웰 및/또는 로렌츠 힘들로서 인가됨; 및
Fz,, Mx,, My, 수동적 힘들로서 인가됨.
[000119] 도 28c는 이송 장치(22)에 인가된 위치 좌표들과 힘들의 일부를 도시한다.
[000120] 이송 장치(22)는, 예를 들어, 상술한 바와 같은 카트(229) (도 12a)와 유사한 운동 수행을 위한 두 개의 부분들을 포함할 수 있다. 작동은, 부가적인 증폭기 채널들을 요구하지 않고, 이송 장치(22)의 전방(2965) 및 후방(2970) 부분들의 상대적인 운동에 의하여 수행될 수 있다.
[000121] 도 30 내지 도 33, 도 34a, 도 35a, 및 도 36 내지 도 38는 다중 권선 세트들과 증폭기 채널들을 가지는 예시적인 실시예들을 도시한다. 상기 이송 챔버는 간명함을 위하여 도시하지 않는다.
[000122] 도 30은 폐쇄 루프 상승, 개방 루프 피치 앤드 롤 안정성, 폐쇄 루프 추진 및 인도, 및 개방 루프 기울어짐 제어를 제공하는 실시예를 도시한다.
[000123] 도 30의 실시예는 서로 연결되고, 이송 장치(3005)의 대향 측부들 상에 위치한 추진 권선들(3010, 3015)을 포함한다. 이러한 실시예에 있어서, 제어 전자 장치들(2715)(도 27)은 적어도 두 개의 증폭기 채널들(3020, 3035)을 포함한다. 추진 권선들(3010, 3015)은 단일의 증폭기 채널(3020)에 의하여 구동된다. 유사하게, 상승 권선들(3025, 3030)은 이송 장치(22)의 대향 측부들 상에 위치하고, 서로 연결되고, 단일의 증폭기 채널(3035)에 의하여 구동된다.
[000124] 추진 권선들(3015)은 상기 이송 장치의 대향 측부(3040)에서, 측부(3045) 상의 추진 권선들(3010)에 비하여, 더 큰 맥스웰 힘을 형성하도록 설계되고, 반면 상승 권선들(3025)은, 측부(3040) 상의 상승 권선들(3030)에 비하여, 측부(3045)에 더 큰 맥스웰 힘을 형성한다. 상기 y-축을 따른 인도력은 추진(3010, 3015) 및 상승(3025, 3030) 권선 세트들에 의하여 형성된 전체 맥스웰 힘들 사이의 차이로서 형성된다. 대안적으로, 상기 권선들은 y-축을 따르는 인도를 위하여 로렌츠 힘들을 형성하도록 설계되고 구동될 수 있다. 양쪽 권선 세트들은 개방 루프(open-loop) 기울어짐, 피치 앤드 롤 안정성 효과를 형성하기 위하여 위상 전환(phase commutation)을 사용하여 제어될 수 있다.
[000125] 이송 장치(3005)는 대향 측부들(3040, 3045) 상에 각각 위치하는 자기 압반들(3050, 3055)을 포함한다. 자기 압반들(3050, 3055)은 자석들의 배열로서 배열될 수 있고, 대향 측부들(3040, 3045)의 길이를 따라서 연장될 수 있다. 일 실시예에 있어서, 상기 자석들의 배열은 권선들에 면하는 교번하는 북극들(3060)과 남극들(3065)로 배열될 수 있다. 다른 자석 배열들이 또한 사용될 수 있다.
[000126] 증폭기 채널들(3020, 3035)의 제어 하의 자기 압반들(3050, 3055)과 권선들(3015, 3030, 3010, 3025)은 폐쇄 루프 기술을 사용하여 이송 장치(3005)의 x, y, z 좌표들을 제어하고, 개방 루프 기술을 사용하여 Rx, Ry, Rz 을 제어하도록 동작한다.
[000127] 증폭기 채널들(3020, 3035)의 제어 하의 자기 압반들(3050, 3055)과 권선들(3015, 3030, 3010, 3025)은 이송 장치(3005)에 하기의 힘들을 인가하도록 상호 작용한다.
Fx = FxL + FxR, 로렌츠 힘들로서 인가됨;
Fy = FyL + FyR, 맥스웰 또는 로렌츠 힘들로서 인가되고, 대향 측부들 상의 추진 및 상승 권선들에 의하여 형성된 인도력들 사이의 차이로서 인가됨;
Fz = FzL + FzR, 로렌츠 힘들로서 인가됨;
Mx, My, Mz, 상전환의 개방 루프 안정성 효과로서 형성됨
[000128] 도 31은 폐쇄 루프 상승, 개방 루프 피치 앤드 롤 안정성, 및 폐쇄 루프 추진, 인도 및 기울어짐 제어를 제공하는 실시예를 도시한다. 본 구성에 있어서, 추진 힘들은 이송 장치(3005)의 대향 측부들 상에 위치한 독립적인 추진 권선들(3110, 3115)에 의하여 제공된다. 이러한 실시예에 있어서, 제어 전자 장치들(2715)(도 27)이 단일의 채널 증폭기(3120)와 2 채널 증폭기(3125)을 포함하는 것으로 도시되어 있다고 하여도, 3 채널들을 제공하는 임의의 적절한 증폭기 배열이 사용될 수 있음을 이해하여야 한다. 추진 권선들(3110, 3115)은 2 채널 증폭기(3125)의 개별 채널들(3130, 3135) 각각에 의하여 구동된다. 상승 권선들(3140, 3145)은 서로 연결되고, 단일의 증폭기 채널(3120)에 의하여 구동된다. 상승 권선들(3140, 3145)은 이송 장치(3005)의 개방 루프 피치 앤드 롤 안정성을 달성하도록 위상 전환을 사용하여 제어된다. 상기 y-축을 따른 인도력은 맥스웰 또는 로렌츠 원리들을 사용한 추진 권선들(3110, 3115)에 의하여 형성된다.
[000129] 도 30의 실시예에 대하여 상술한 바와 같이, 이송 장치(3005)는 자기 압반들(3050, 3055)을 포함한다. 증폭기 채널들(3120, 3130, 3135)의 제어 하의 자기 압반들(3050, 3055)과 권선들(3110, 3115, 3140, 3145)은, 각각, 폐쇄 루프 기술을 사용하여 xL, xR, y, z 좌표들을 제어하고, 개방 루프 기술을 사용하여 Rx, Ry 좌표들을 제어하도록 동작한다.
[000130] 증폭기 채널들(3020, 3035)의 제어 하의 자기 압반들(3050, 3055)과 권선들(3015, 3030, 3010, 3025)은 이송 장치(3005)에 하기의 힘을 인가하도록 동작한다.
FxL, FxR, 로렌츠 힘들로서 인가됨;
Fy = FyL + FyR, 추진 권선들(3110, 3115) 만으로 형성된 맥스웰 또는 로렌츠 힘들로서 인가됨;
Fz = FzL + FzR, 로렌츠 힘들로서 인가됨; 및
Mx, My, 상승 권선들(3140, 3145)의 위상 전환에 기인하여 개방 루프 안정성에 의하여 형성됨.
[000131] 도 32는 폐쇄 루프 상승, 개방 루프 피치 안정성, 폐쇄 루프 롤 안정성, 추진, 인도, 및 기울어짐 제어를 제공하는 구동 시스템의 실시예를 도시한다.
[000132] 본 구성에 있어서, 추진 힘들은 이송 장치(3005)의 대향 측부들 상에 위치한 독립적인 추진 권선들(3210, 3215)에 의하여 제공되고, 반면 상승은 또한 이송 장치(3005)의 대향 측부들 상에 위치한 독립적인 상승 권선들(3220, 3225)에 의하여 제공된다. 이러한 실시예에 있어서, 제어 전자 장치들(2715)(도 27)이 두 개의 듀얼 채널 증폭기들(3230, 3235)를 포함하는 것으로 도시되어 있다고 하여도, 4 채널들을 제공하는 임의의 적절한 증폭기 배열이 사용될 수 있음을 이해하여야 한다. 추진 권선들(3210, 3215)은 2 채널 증폭기(3230)의 개별 채널들(3240, 3245) 각각에 의하여 구동된다. 이에 상응하여, 상승 권선들(3220, 3225)은 2 채널 증폭기(3235)의 개별 채널들(3250, 3255) 각각에 의하여 구동된다. 상승 권선들(3220, 3225)은 이송 장치(3005)의 개방 루프 피치 안정성을 달성하도록 위상 전환을 사용하여 제어된다. 상기 y-축을 따른 인도력은 맥스웰 원리들을 사용한 추진 권선들(3210, 3215) 및 상승 권선들(3220, 3225)에 의하여 형성된다.
[000133] 상기 다른 실시예들에 대하여 상술한 바와 같이, 이송 장치(3005)는 자기 압반들(3050, 3055)을 포함한다. 증폭기 채널들(3240, 3245, 3250, 3255)의 제어 하의 자기 압반들(3050, 3055)과 권선들(3210, 3215, 3220, 3225)은, 각각, 폐쇄 루프 기술을 사용하여 xL, xR, y, zL, zR 좌표들을 제어하고, 개방 루프 기술을 사용하여 Ry 좌표들을 제어하도록 동작한다.
[000134] 증폭기 채널들(3240, 3245, 3250, 3255)의 제어 하의 자기 자기 압반들(3050, 3055)과 권선들(3210, 3215, 3220, 3225)은 이송 장치(3005)에 하기의 힘을 인가하도록 각각 동작한다.
Fx = FxL + FxR, 로렌츠 힘들로서 인가됨;
Fy = FyL + FyR, 추진 권선들(3210, 3215)과 상승 권선들(3220, 3225)으로 형성된 맥스웰 힘들로서 인가됨;
Fz = FzL + FzR, 로렌츠 힘들로서 인가됨; 및
My 상승 권선들(3220, 3225)의 위상 전환에 기인하여 개방 루프 안정성에 의하여 형성됨
[000135] 도 33, 도 34a 내지 도 34d, 및 도 35 내지 도 38은 완전(full) 폐쇄 루프 제어를 가지는 구동 시스템들의 실시예들을 도시하고, 즉, 좌표들 또는 힘들의 개방 루프 또는 수동적 제어가 없다. 이러한 실시예들은, 이송 장치(3305)와 연결된 다수의 자기 압반들가 상호 작용하는 정지 상태의 권선들을 사용하여 이송 장치(3305)를 부양하고 추진하도록 동작한다. 상기 실시예들이 예를 들어, 이송 장치(3305)의 각각의 구석에 연결된 네 개의 자기 압반들(3375, 3380, 3385, 3390)을 도시한다고 하여도, 이송 장치(3305)에 대하여 임의의 배열을 가진 임의의 수의 자기 압반들을 사용할 수 있음을 이해하여야 한다. 상기 실시예들이 교번하는 북남극 패턴 내에 배열된 자석들을 가지는 자기 압반들을 도시하고 있다고 하여도, 임의의 적절한 패턴의 자석들이 사용될 수 있음을 이해하여야 한다.
[000136] 도 33으로 돌아가서, 이송 장치(3305)의 대향 측부들(3320, 3325) 각각 상의 추진 권선들(3310, 3315)은 단일의 증폭기 채널(3330)에 함께 연결되고 구동될 수 있다. 세 개의 독립적인 상승 권선들(3335, 3340, 3345)은 각각 세개의 증폭기 채널들(3360, 3365, 3370)에 의하여 구동될 수 있다. 상기 세 개의 독립적인 상승 권선들은 측부(3325)에 작용하는 단일의 권선(3335)과 측부(3320)에 작용하는 권선들(3340, 3345)으로 상승력들을 형성하도록 동작한다. 이러한 실시예에 있어서, 권선(3345)은 측부(3320)를 따라서 이송 장치(3305)의 전방(3350)에 작용하고, 반면 권선(3340)은 측부(3320)를 따라서 이송 장치(3305)의 후방(3355)에 작용한다. 따라서, 공통적인 상승력이 측부(3325)에 인가될 수 있고, 반면 동일하거나 또는 상이할 수 있는 독립적인 상승력들이 측부(3320)의 전방과 후방에 인가될 수 있다.
[000137] 증폭기 채널들(3330, 3360, 3365, 3370)의 제어 하의 자기 압반들(3375, 3380, 3385, 3390)과 권선들(33310, 3315, 3335, 3340, 3345)은, 각각, 폐쇄-루프 기술을 사용하여 x, yF, yR, zL, zRF, zRR, 좌표들을 제어하도록 동작한다.
[000138] 증폭기 채널들(3330, 3360, 3365, 3370)의 제어 하의 자기 압반들(3375, 3380, 3385, 3390)과 권선들(33310, 3315, 3335, 3340, 3345)은, 각각, 이송 장치(3305)에 하기의 힘을 인가하도록 각각 동작한다.
Fx = FxL + FxR, 로렌츠 힘들로서 인가됨;
FyF = FyL/2 + FyLR, FyR = FyL/2 + FyRR, 상승 권선들 만으로 형성된 로렌츠 또는 맥스웰 힘으로서 인가됨; 및
FzL, FzRF, F2RR, 로렌츠 힘들로서 인가됨.
[000139] 도 34a를 참조하면, 네 개의 독립적인 상승 권선들(3410, 3415, 3420, 3425)이 각각 네 개의 증폭기 채널들(3430, 3435, 3440, 3445)에 의하여 구동될 수 있다. 상기 네 개의 독립적인 상승 권선들은 이송 장치(3305)의 각각의 구석에서 자기 압반들에 상승력들을 형성하도록 동작하고, 권선들(3410, 3415, 3420, 3425)은 각각 자기 압반들(3450, 3455, 3460, 3465)에 상승력들을 형성한다. 따라서, 독립적인 상승력들은 각각의 자기 압반(3450, 3455, 3460, 3465)에 인가될 수 있고, 이에 따라 이송 장치(3305)의 각각의 구석에 인가될 수 있다.
[000140] 본 실시예에 있어서, 추진 권선들(3490, 3495)은 함께 연결되고, 단일의 증폭기 채널(3497)에 의하여 구동된다.
[000141] 증폭기 채널들(3430, 3435, 3440, 3445, 3497)의 제어 하의 자기 압반들(3450, 3455, 3460, 3465)과 권선들(3410, 3415, 3420, 3425, 3490, 3495)은, 각각, 폐쇄 루프 기술을 사용하여 이송 장치(3305)의 x, yF, yR, zF, zLR, zRR 좌표들을 제어하도록 동작한다.
[000142] 증폭기 채널들(3430, 3435, 3440, 3445, 3497)의 제어 하의 자기 자기 압반들(3450, 3455, 3460, 3465)과 권선들(3410, 3415, 3420, 3425, 3490, 3495)은 이송 장치(3305)에 하기의 힘을 인가하도록 각각 동작한다.
Fx = FxL + FxR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR, 상승 권선들 만으로 형성된 로렌츠 또는 맥스웰 힘들로서 인가됨; 및
FzF = FzLF + FzRF, FzLR, FzRR, 로렌츠 힘들로서 인가됨.
[000143] 또한, 도 34a의 구동 시스템은 이송 장치(3305)의 위치를 결정하기 위한 센서들(3411)의 배열을 포함할 수 있고, 이송 장치(3305)의 소정의 부분들의 위치를 포함할 수 있다. 예를 들어, 센서 배열(3411)은 자기 압반들(3450, 3455, 3460, 3465) 각각의 위치들을 감지할 수 있고, 따라서 이송 장치(3305)의 각각의 구석, 우측 전방 (RF), 좌측 전방 (LF), 우측 후방 (RR), 및 좌측 후방 (LR), 각각의 위치들을 감지할 수 있다. 이러한 실시예에 있어서, 제어 전자 장치(2715)는 센서 배열(3411)을 사용하여 전력을 제공하고 신호들을 교환하는 센서 회로(3417)를 포함할 수 있다.
[000144] 도 34b 내지 도 34d는 이송 장치(3305)의 전방(3403)과 ㄹ후방(3407)의 상승 및 인도 제어와 이송 장치(3305)의 추진 제어를 위한 예시적인 제어 솔루션들을 도시한다.
[000145] 도 34b는 이송 장치(3305)의 전방 단부(3403)를 위한 예시적인 상승 및 인도 제어 솔루션을 도시한다. y 및 z-축들을 따른 이송 장치(3305)의 좌측 전방(LF)과 우측 전방(RF)의 실제 위치들이, yLFact, zLFact, yRFact, zRFact, 센서 배열(3411)에 의하여 제공될 수 있다. 상기 z-축 상의 원하는 위치가, zcmd, 제어 전자 장치들(2715)의 인터페이스(2735)(도 27)를 통하여 제공될 수 있다. 상기 실제 위치들, yLFact, zLFact, yRFact, zRFact, 및 상기 원하는 위치는, zcmd, 도시된 바와 같이, 증폭기 채널들(3430, 3435)을 통하여 권선들(3410, 3415)에 각각 제공되는 전환 전류들을, iAj 및 iBj, 형성하도록, 증폭기(3419)에 의하여 사용될 수 있다.
[000146] 도 34c는 이송 장치(3305)의 후방 단부(3407)를 위한 예시적인 상승 및 인도 제어 솔루션을 도시한다. 센서 배열(3411)은 y 및 z-축들을 따른 이송 장치(3305)의 좌측 후방(LR) 및 우측 후방(RR) 의 실제 위치들을, yLRact, zLRact, yRRact, zRRact, 제공할 수 있다. 상기 z-축 상의 원하는 위치가, zcmd, 제어 전자 장치들(2715)의 인터페이스(2735)(도 27)를 통하여 제공될 수 있다. 상기 실제 위치들, yLRact, zLRact, yRRact, zRRact, 및 상기 원하는 위치는, zcmd, 도시된 바와 같이, 증폭기 채널들(3440, 3445)을 통하여 권선들(3420, 3425)에 각각 제공되는 전환 전류들을, iAj 및 iBj, 형성하도록, 증폭기(3422)에 의하여 사용될 수 있다.
[000147] 도 34d는 이송 장치(3305)를 위한 예시적인 추진 제어 솔루션을 도시한다. x-축을 따른 이송 장치(3305)의 실제 위치가, xact, 센서 배열(3411)에 의하여 제공될 수 있다. 상기 x-축 상의 원하는 위치가, xcmd, 제어 전자 장치들(2715)의 인터페이스(2735)(도 27)를 통하여 제공될 수 있다. 상기 실제 위치, xact 및 상기 원하는 위치는, xcmd, 도시된 바와 같이, 증폭기 채널들(3497)을 통하여 권선들(3490, 3495)에 각각 제공되는 전환 전류들을, ij 형성하도록, 증폭기(3427)에 의하여 사용될 수 있다.
[000148] 도 35a, 도 35b, 및 도 36 내지 도 38은 완전 폐쇄 루프 제어를 제공하는 예시적인 구동 시스템의 실시예들을 도시하고, 이송 장치에 하나 또는 그 이상 장치들의 작동의 제공들을 또한 도시한다.
[000149] 도 35a에 도시된 실시예를 참조하여, 네 개의 추진 권선들이 제공되고, 추진 권선들(3510, 3520)은 이송 장치(3505)의 측부(3530)에 위치하고, 추진 권선들(3515, 3525)은 이송 장치(3505)의 측부(3535)에 위치한다. 대향 측부들 상의 추진 권선들은 서로 연결되고, 단일의 증폭기 채널에 의하여 구동되고, 권선들(3510, 3515)은 증폭기 채널(3540)에 의하여 구동되고, 또한 권선들(3520, 3525)은 증폭기 채널(3545)에 의하여 구동된다.
[000150] 네 개의 독립적인 상승 권선들(3550, 3555, 3560, 3565)은 네 개의 증폭기 채널들(3570, 3575, 3580, 3585)에 의하여 각각 구동될 수 있다. 상기 네 개의 독립적인 상승 권선들은 이송 장치(3505)의 각각의 구석에 위치한 자기 압반들에 동일하거나 또는 상이할 수 있는 독립적인 상승력들을 자기 압반들(3450, 3455, 3460, 3465)에 상승력을 각각 형성하는 권선들(3550, 3555, 3560, 3565)을 사용하여 형성하도록 동작한다.
[000151] 이러한 실시예에서 설명된 상기 증폭기 채널들의 제어 하의 자기 압반들과 권선들의 조합은 폐쇄 루프 기술들을 사용하여 이송 장치(3505)의 x, yF, yR, ZF, ZLR, 및 zRR 좌표들을 제어하도록 동작한다. 또한, 상기 증폭기 채널들의 제어 하의 자기 압반들과 권선들의 이러한 조합은 이송 장치(3505)에 하기의 힘들을 인가하도록 동작한다.
Fx = FxLF + FxRF + FxLR + FxRR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR, 로렌츠 또는 맥스웰 힘들로서 인가되고, 즉 맥스웰 원리들이 사용될 때에는 이러한 힘들은 상승 권선들 만에 의하여 형성되고, 또한, 로렌츠 원리들이 사용될 때에는 이러한 힘들은 추진 및 상승 권선들의 조합에 의하여 형성됨; 및
FzF = FzLF + FzRF, FzLR, FzRR, 로렌츠 힘들로서 인가됨.
[000152] 또한, 도 35a의 구동 시스템은 이송 장치(3505)의 위치를 결정하기 위한 센서들(3511)의 배열을 포함할 수 있고, 이송 장치(3505)의 소정의 부분들의 위치를 포함할 수 있다. 예를 들어, 센서 배열(3511)은 자기 압반들(3450, 3455, 3460, 3465) 각각의 위치들을 감지할 수 있고, 이에 따라 이송 장치(3505)의 각각의 구석의 위치들, 우측 전방 (RF), 좌측 전방 (LF), 우측 후방 (RR), 및 좌측 후방 (LR)의 위치들을 감지할 수 있다. 이러한 실시예에 있어서, 제어 전자 장치(2715)는 전력을 제공하고, 센서 배열(3511)로 신호들을 교환하는 센서 회로(3517)를 포함할 수 있다.
[000153] 본 실시예는 도 34b 및 도 34c에 도시된 실시예들과 유사한 예시적인 상승 및 인도 제어 솔루션들을 사용할 수 있다.
[000154] 이러한 실시예에 있어서, 이송 장치(3505)는, 도 28d에 도시된 상기 예시적인 장치와 유사하게, 서로에 대하여 움직일 수 있는 둘 또는 그 이상의 부분들을 포함할 수 있다. 이러한 유형의 이송 장치와 함께, 상기 이송 장치 상의 작동 장치는, 예를 들어, 아암 또는 단부 작동체는, 부가적인 증폭기 채널들의 요구없이, 이송 장치(3505)의 전방(3585) 및 후방(3590) 부분들의 상대적인 운동에 의하여 작동될 수 있다.
[000155] 도 35b는 이송 장치(3505)에 대한 예시적인 추진 제어 솔루션을 도시한다. x-축을 따른 이송 장치(3505)의 전방 부분(3585)의 실제 위치들, XFact, 및 상기 x-축을 따른 이송 장치(3505)의 후방 부분(3590)의 실제 위치들, XRact, 은 센서 배열(3411)에 의하여 제공될 수 있다. 상기 x-축 상의 전방 부분(3585)의 원하는 위치들, XFcmd, 및 상기 x-축 상의 후방 부분(3590)의 원하는 위치들이, XRcmd, 제어 전자 장치들(2715)의 인터페이스(2735)(도 27)를 통하여 제공될 수 있다. 상기 실제 위치들, XFact, XRact 및 상기 원하는 위치들은, XFcmd, XRcmd, 증폭기 채널(3540)을 통하여 권선들(3510, 3515)에 제공되는 전환 전류를, iAj, 형성하도록, 증폭기(3537)에 의하여 사용될 수 있다. 또한, 상기 실제 및 원하는 위치들은, 도시된 바와 같이, 증폭기 채널(3545)을 통하여 권선들(3520, 3525)에 제공되는 전환 전류를, iBj, 형성하도록, 사용될 수 있다.
[000156] 도 36은 자기 압반들(3450, 3455, 3460, 3465)의 둘레에 집합된 권선 세트들과 함께, 도 35a와 유사한 실시예를 도시한다.
[000157] 본 실시예는 도 34b 및 도 34c에 도시된 것들과 유사한 예시적인 상승 및 인도 제어 솔루션들을 사용할 수 있고, 도 35b에 도시된 예시적인 추진 제어 솔루션을 사용할 수 있다.
[000158] 본 실시예는, 사용되지 않는 권선들의 영역들을 가지지 않고, 도 35a에 도시된 실시예와 같은 이송 장치(3005)의 좌표들에 대한 동일한 제어를 제공하고, 동일한 힘을 인가하므로, 잇점이 있다.
[000159] 도 37에 도시된 예시적인 실시예는 각각 자신의 증폭기 채널에 의하여 구동되는 네 개의 독립적인 추진 권선들 및 네 개의 독립적인 상승 권선들을 포함한다.
[000160] 독립적인 추진 권선들(3710, 3715, 3720, 3725)은 네 개의 증폭기 채널들(3730, 3735, 3740, 3745)에 의하여 각각 구동될 수 있다. 상기 네 개의 독립적인 추진 권선들은, 자기 압반들(3450, 3455, 3460, 3465) 각각에 동일하거나 또는 상이할 수 있는 독립적인 추진력들을 형성할 수 있는 권선들(3710, 3715, 3720, 3725)을 사용하여 이송 장치(3305)의 각각의 구석 상의 자기 압반들에 x-축을 따른 추진력들을 형성하도록 동작한다.
[000161] 독립적인 추진 권선들(3750, 3755, 3760, 3765)은 네 개의 증폭기 채널들(3770, 3575, 3780, 3785)에 의하여 각각 구동될 수 있다. 상기 네 개의 독립적인 추진 권선들은, 자기 압반들(3450, 3455, 3460, 3465) 각각에 동일하거나 또는 상이할 수 있는 독립적인 상승력들을 형성할 수 있는 권선들(3750, 3755, 3760, 3765)을 사용하여 이송 장치(3305)의 각각의 구석 상의 자기 압반들에 상승력들을 형성하도록 동작한다.
[000162] 도 34 내지 도 36의 실시예들과 유사하게, 이러한 실시예에서 설명된 상기 증폭기 채널들의 제어 하의 자기 압반들과 권선들 조합은 폐쇄 루프 기술들을 사용하여 이송 장치(3305)의 x, yF, yR, zF, zLR, 및 zRR 좌표들을 제어하도록 동작한다.
[000163] 상기 증폭기 채널들의 제어 하의 자기 압반들과 권선들의 조합은 이송 장치(3305)에 하기의 힘들을 인가하도록 동작한다:
Fx = FxLF + FxRF + FxLR + FxRR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR, 추진 및 상승 권선들의 조합에 의하여 로렌츠 또는 맥스웰 힘들로서 인가됨; 및
FzF = FzLF + FzRF , FzLF, FzRR, 로렌츠 힘들로서 인가됨.
[000164] 이러한 실시예에 있어서, 아암 작동은, 부가적인 증폭기 채널들을 요구하지 않고, 이송 장치(3305)의 자기 압반들(3450, 3455, 3460, 3465)의 상대적인 운동에 의하여 수행될 수 있다.
[000165] 도 38은 자기 압반들(3450, 3455, 3460, 3465)의 둘레에 집합된 권선 세트들과 함께, 도 37과 유사한 실시예를 도시한다.
[000166] 본 실시예는, 사용되지 않는 권선들의 영역들을 가지지 않고, 도 37에 도시된 실시예와 같은 이송 장치(3005)의 좌표들에 대한 동일한 제어를 제공하고, 동일한 힘을 인가하므로, 잇점이 있다.
[000167] 상술한 실시예들의 대부분은 상기 이송 장치 상의 장치를, 예를 들어, 아암, 단부 작동체, 또는 회전 장치를, 작동하기 위하여, 이송 장치(22)의 전방 및 후방 부분의 상대적인 운동을 사용할 수 있다. 상기 상대적인 운동은 전체적으로 상기 이송 장치의 전방 및 후방 부분들에 연결된 자기 압반들에 인가된 상대적인 힘들에 기인하다. 상기 이송 장치의 전방 및 후방 부분들의 상대적인 운동의 대안으로서, 장치 작동은 상대적인 운동을 형성하도록 설계된 이송 장치 상에 위치한 자기 압반들을 사용함으로써 달성될 수 있다. 상기 운동은 설계된 자기 압반들과 상기 설계된 자기 압반들에 인접하여 위치한 권선들 사이의 상호 작용에 의하여 야기될 수 있다. 상기 설계된 자기 압반들은 임의의 방향으로 서로에 대하여 상대적으로 움직일 수 있도록 구성될 수 있다. 본 명세서에 개시된 바와 같이, 상기 설계된 자기 압반들은 상기 자기 압반들의 상대적인 운동을 제공하도록 특별하게 설계된 권선들과 상호 작용할 수 있고, 또는 상기 이송 장치 상의 힘들, 예를 들어, 상승, 추진, 또는 인도를 제공하도록 미리 존재하는 권선들과 상호 작용할 수 있다.
[000168] 도 39a 내지 도 39c는 두 개의 수직으로 분할된 자기 압반들을, 상측 압반(3910)과 하측 압반(3915), 포함하는 실시예를 도시한다. 상측 및 하측 압반들(3910, 3915)은 개시된 이송 장치의 임의의 하나의, 예를 들어 이송 장치(22 또는 3305)의, 측부에 장착될 수 있다. 대안적으로, 상측 및 하측 압반들(3910, 3915)은 이송 장치의 임의의 부분에 장착될 수 있다. 상측 및 하측 압반들(3910, 3915)은 활강부들 또는 롤러들 상에 장착될 수 있고, 또한 적절한 운동을 보증하도록 가이드들 또는 레일들의 세트 내에 제한될 수 있다.
[000169] 도 39a는 중립 위치들 내에 위치한 상측 및 하측 압반들(3910, 3915)을 도시한다. 도 39b에 도시된 바와 같이, 하측 압반(3915)은 정지 상태로 유지될 수 있고, 반면 상측 압반(3910)은 상기 권선들에 의하여 제공된 힘들에 의하여 작동될 수 있다. 도 39c에 있어서, 상측 압반(3910)은 정지 상태로 유지될 수 있고, 반면 하측 압반(3915)은 상기 권선들에 의하여 제공된 힘들에 의하여 작동될 수 있다.
[000170] 일부 실시예들에 있어서, 예를 들어, 도 30 내지 도 32에 개시된 바와 같이, 상기 설계된 자기 압반들의 하나는 상기 이송 장치에 고정될 수 있고, 상기 이송 장치 자체의 운동을 위하여 사용될 수 있다. 이러한 실시예들에 있어서, 다른 설계된 자기 압반은 장치 작동을 위하여 상기 이송 장치에 움직일 수 있게 장착될 수 있다.
[000171] 예를 들어, 이웃하는 스테이션 내의 다른 이송 장치의 존재에 기인하는, 상기 x-방향을 따라 가능한 공간이 한정되는 실시예들에 있어서, 상기 설계된 자기 압반들은 상기 자기 압반들의 움직임의 증가된 범위를 제공할 수 있고, 이에 따라 힘과 위치 해결 요구들을 완화할 수 있다.
[000172] 도 40은 회전 구성의 구동 시스템을 도시한다. 이러한 실시예에 있어서, 네 개의 독립적인 추진 권선들은, 도 37 및 도 38의 실시예들과 유사한, 네 개의 증폭기 채널들에 의하여 각각 구동된다. 상기 네 개의 독립적인 추진 권선들은 네 개의 x-방향 힘들을, FxLF, FxRF, FxLR , FxRR, 형성한다.
[000173] 이러한 실시예에 있어서, 이송 장치(4005)는 독립적으로 회전하고, 자신들의 중심들에서 함께 결합된 한 쌍의 로터들(4010, 4015, rotors)을 포함할 수 있다. 상기 x-방향 힘들은 아암 작동 목적들을 위한 한 쌍의 로터들(4010, 4015)에 작용하는 모멘트들을 형성하고, 부가적인 두 개의 자유도를 제공한다. 부가적으로, 상기 추진 권선들은 인도력들을 형성할 수 있다.
[000174] 상승 제어 및 피치/롤 안정성은 인도력들을 형성할 수 있는 상승 권선들을 통하여 달성될 수 있다. 상승 제어 및 피치/롤 안정성은 도 30 내지 도 38에 도시된 실시예들의 임의의 하나와 유사한 상승 권선들을 이용할 수 있다. 이송 장치(4005)는 한계들을 극복하는(negotiating corners) 능력을 가질 수 있다.
[000175] 상기 권선들은, 폐쇄 루프 기술들을 이용하여 이송 장치(4005)의 x, yF, YR, RzF, RzR 좌표들을 제어하고, 폐쇄 루프, 개방 루프 또는 상승 권선들의 구성에 의존한 조합을 이용하여 z, Rx, Ry 좌표들을 제어하도록, 상기 로터들과 상호 작용한다.
[000176] 또한, 상기 권선들은 이송 장치(4005)에 하기의 힘들을 인가하도록 로터들와 상호 작용한다.
Fx = FxLF + FxRF + FxLR + FxRR, 로렌츠 힘들로서 인가됨;
FyF = FyLF + FyRF, FyR = FyLR + FyRR, 로렌츠 또는 맥스웰 힘들로서 인가됨
MzF = r(FxLF - FxRF), MzR = r(FxLR - FxRR) , r = 반경
FzLF, FzRF, FzLR, FzRR, 로렌츠 힘들로서 인가됨.
[000177] 처리 장치 내에서 이용되는 경우에, 예를 들어, 도 2 내지 도 7에 개시된 바와 같이, 이송 장치(22, 22A, 3005, 3305, 4005)는, 스테이션에서 대기할 때에, 정지 상태로 유지될 수 있다. 일부 실시예들에 있어서, 이것은 상기 상승 권선들에 균일하게 동력을 공급하는 것을 야기할 수 있다. 상기 구동 시스템의 하나 또는 그 이상 실시예들은, 상기 이송 장치가 대기하는 중에, 상기 상승 권선들에 의하여 형성된 연속적인 힘을 감소시키도록, 부가적인 강자성 요소들을 이용할 수 있다.
[000178] 도 41의 실시예는 상기 이송 장치 상의 영구 자석들의 자기장에 노출된 정지 상태의 강자성 레일들(4105)의 그리드(grid)를 채용할 수 있다. 예를 들어, 이송 장치(3305)는 그리드(4105) 내에 세 개의 상이한 위치들에 도시되어 있다. 본 실시예는 임의의 적절한 이송 장치를 이용할 수 있다. 본 예에 있어서, 그리드(4105)는 자기 압반들(3450, 3460)의 장들에 노출된다. 본 실시예가 단일의 그리드를 도시하고 있어서, 임의의 적절한 수의 그리드들가 사용될 수 있음을 이해하여야 한다. 다른 실시예에 있어서, 두 개의 그리드들이 이송 장치(3305)의 대향 측부들에 인접하여 위치할 수 있고, 상기 이송 장치의 대향 측부들 상에서 자기 압반들과 상호 작용할 수 있다.
[000179] 이송 장치(3305)가 위치를 유지하는 경우에, 자기 압반들(3450, 3460)과 강자성 그리드(4105) 사이의 수동적 힘들은 이송 장치(3305)의 무게와 균형을 이룬다. 상기 그리드는, 이송 장치가 경로를 횡단하도록 축을 따라 강자성 재료를 제공하는 다수의 수평 레일들(4110, 4120)을 포함할 수 있다. 상기 그리드를 가로질러, 예를 들어 하나의 수직 레벨로부터 다른 레벨까지, 점진적으로 변화하도록 하기 위하여, 부가적인 강자성 레일들(4115, 4125)이 제공될 수 있다. 위치(A)에서, 이송 장치가 수평 레일(4120)을 횡단하는 것이 도시되어 있다. 위치(B)는 레벨들 사이를 통과하도록 허용하는 상기 그리드의 구성을 도시한다. 위치(C)는, 이송 장치(3305)가 스테이션에서 대기할 수 있는, 예시적인 유지 위치이다.
[000180] 자기 압반들(3450, 3460)과 레일들(4110, 4115, 4120, 4125) 사이의 인력들은 전력 손실 상황들에서 안정적인 착륙을 위하여 사용될 수 있는 수평의 구성 요소들을 포함한다.
[000181] 도 42a 및 도 42b에 도시된 바와 같이, 대안적인 실시예는 자성 래칫 메커니즘(4205)을 포함할 수 있다. 이러한 실시예에 있어서, 상승 방향으로 이송 장치에 작용하는 최대 수동적 힘은, Fzup, 하강 방향으로 상기 자성 래칫 메커니즘에 의하여 형성된 수동적 힘에, Fzdn, 비하여 실질적으로 클 수 있다. Fzup은 상기 래칫 메커니즘이 없는 실시예들과 비교하여, 증분 힘 증가를 나타낸다. 다시 말하면, 카트를 하측 레벨로부터 더 높은 레벨로 상승시키기에 필요한 상기 최대 힘은 중력과 Fzdn을 극복할 필요가 있고, 일부 실시예들에 있어서, 상기 카트를 가속하는데 요구되는 힘을 또한 포함할 수 있다. 자기 압반들(3450, 3455)과 상기 래칫 메커니즘의 강자성 요소들 사이의 인력들의 수평 성분들은 전력 손실에서 안정적인 착륙을 위한 수단을 포함할 수 있다.
[000182] 본 명세서에 개시된 실시예들 각각은, 도 43a에 도시된 예들인, 정지 상태의 권선들의 하나 또는 그 이상 패턴들을 이용할 수 있다. 도 43a에 도시된 패턴들은 사용될 수 있는 권선들의 상이한 조립체들 또는 구성들을 나타내고, 권선 세트는 단일의 패턴 또는 패턴들의 조합을 포함할 수 있다.
[000183] 또한, 개시된 실시예들은, 도 43b에 도시된 예들인, 자기 압반들의 하나 또는 그 이상 패턴들을 포함할 수 있다. 자기 압반은 단일의 패턴 또는 패턴들의 조합을 포함할 수 있다. 도 43c에서, 표 1은 권선 패턴들 각각의 설명을 포함하고, 표 2는 자기 압반 패턴들 각각의 설명을 포함한다. 표 3은 본 명세서에 개시된 구동 시스템의 실시예들에서 함께 사용될 수 있는 권선 패턴들과 자석 패턴들의 다양한 조합들의 다수의 한정되지 않은 예들을 도시한다.
[000184] 따라서, 개시된 실시예들은 전용의 인도 권선들을 요구하지 않고, 인도를 위하여 사용되는 추진 및 상승 권선들을 제공하고, 하나 또는 그 이상 실시예들에 있어서, 단일-측부 구동 구성은 수동적 자기력들, 수동적 자기력들을 사용하는 수동적 상승, 피치 앤드 롤 안정성을 사용한다. 또한, 개시된 실시예들은, 한계들을 극복하는 능력을 가지고, 위상 전환, 장치 작동을 위한 수직으로 구분된 자기 압반들을 가지는 구동 구성들, 및 직접 회전 아암 작동을 위한 구동 구성들을 사용한 개방 루프 상승, 피치 앤드 롤 안정성을 또한 제공한다. 또한, 상기 실시예들은 강자성 레일들을 사용하는 수동적으로 균형잡힌 상승 능력들, 자기 래칫(ratchet) 메커니즘을 기반으로 하는 수동적으로 균형잡힌 상승 능력들, 및 예를 들어, 종래의 듀얼-채널 모터 증폭기들을 사용하는 경우에 분리된 폐쇄 루프 위치 제어를 포함할 수 있다.
[000185] 상기의 설명은 단지 개시된 실시예들의 예시적인 것이라는 점이 이해되어야 한다. 다양한 대안 및 수정이 개시된 실시예들로부터 이탈됨이 없이 당업자에 의해서 이루어질 수 있다. 따라서 개시된 실시예들은 첨부된 청구항들의 범위내에 속하는 모든 그러한 대안, 수정 및, 변형을 포함하는 것으로 의도된다.

Claims (15)

  1. 이송 장치용 구동 시스템으로서, 상기 구동 시스템은,
    상기 이송 장치에 연결된 복수의 영구 자석들;
    상기 복수의 영구 자석들의 적어도 하나의 장(field)에 노출된 복수의 정지 상태의(stationary) 권선(winding)들;
    상기 이송 장치에 자기력을 제공하도록 상기 정지 상태의 권선들에 동력을 공급하는 제어 시스템; 및
    상기 이송 장치의 상승(lift), 피치 앤드 롤(pitch and roll)의 수동적 안정성을 제공하는, 상기 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열;
    을 포함하는 이송 장치용 구동 시스템.
  2. 제 1 항에 있어서,
    상기 강자성 구성 요소들의 배열은 상기 복수의 영구 자석들 및 하나 또는 그 이상 강자성 요소들의 적어도 다른 하나를 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  3. 제 1 항에 있어서,
    상기 하나 또는 그 이상 강자성 요소들은 상기 복수의 정지 상태의 권선들의 부분으로서 형성된 것을 특징으로 하는 이송 장치용 구동 시스템.
  4. 제 1 항에 있어서,
    상기 정지 상태의 권선들은 상기 이송 장치의 일 측부에 인접한 것을 특징으로 하는 이송 장치용 구동 시스템.
  5. 제 1 항에 있어서,
    상기 정지 상태의 권선들은 상기 이송 장치의 대향 측부들에 인접한 것을 특징으로 하는 이송 장치용 구동 시스템.
  6. 제 1 항에 있어서,
    상기 복수의 영구 자석들은 상기 이송 장치의 제1 및 제2 대향 측부들에 위치한 자석 압반(platen)들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  7. 제 6 항에 있어서,
    상기 자석 압반들은 상기 권선들을 대면하는 교번되는 기둥들(alternating poles)을 갖는 자석들의 배열을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  8. 제 6 항에 있어서,
    상기 정지 상태의 권선들은:
    상기 이송 장치의 제1 및 제2 대향 측부들에 인접한 추진(propulsion) 권선들; 및
    상기 이송 장치의 제1 및 제2 대향 측부들에 인접한 상승(lift) 권선들;
    을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  9. 제 8 항에 있어서,
    상기 이송 장치의 제1 대향 측부들에 인접한 상기 추진 및 상승 권선들은 상기 이송 장치의 제2 대향 측부들에 인접한 상기 추진 및 상승 권선들로부터 오프셋(offset)되는 것을 특징으로 하는 이송 장치용 구동 시스템.
  10. 제 8 항에 있어서,
    상기 제어 시스템은, 상기 이송 장치의 대향 측부들에 평행한 추진력을 형성하기 위하여 상기 추진 권선들을 구동하고, 상기 추진력에 수직인 상승력을 형성하기 위하여 상기 상승 권선들을 구동하도록 구성된 제어 전자 장치들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  11. 제 8 항에 있어서,
    상기 제어 시스템은, 추진력과 상승력에 수직인 제1 인도력(guidance force)을 형성하기 위하여 상기 추진 권선들을 구동하고, 상기 제1 인도력에 반대인 제2 인도력을 형성하기 위하여 상기 상승 권선들을 구동하도록 구성된 제어 전자 장치들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  12. 제 8 항에 있어서,
    상기 제어 시스템은, 추진력과 상승력에 수직인 제1 및 제2 대향(opposing) 인도력을 형성하기 위하여 상기 추진 권선들을 구동하도록 구성된 제어 전자 장치들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  13. 제 8 항에 있어서,
    로렌츠 힘들(Lorentz forces)로서 추진력들과 상승력들을 형성하기 위하여 상기 추진 권선들과 상기 상승 권선들을 구동하도록 구성된 제어 전자 장치들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  14. 제 8 항에 있어서,
    맥스웰 힘들(Maxwell forces)로서 인도력들을 형성하기 위하여 상기 추진 권선들과 상기 상승 권선들을 구동하도록 구성된 제어 전자 장치들을 포함하는 것을 특징으로 하는 이송 장치용 구동 시스템.
  15. 이송 챔버;
    상기 이송 챔버와 소통될 수 있게 결합된 적어도 하나의 처리 모듈;
    상기 이송 챔버와 상기 처리 모듈 사이에 워크피스(workpiece)를 이송하는 이송 장치;
    상기 이송 챔버를 통하여 상기 이송 장치를 움직이는 자기력을 제공하는 구동 시스템;을 포함하는 처리 장치로서,
    상기 구동 시스템은:
    상기 이송 장치에 연결된 복수의 영구 자석들;
    상기 복수의 영구 자석들의 적어도 하나의 장에 노출된 복수의 정지 상태의 권선들;
    상기 이송 장치에 자기력을 제공하도록 상기 정지 상태의 권선들에 동력을 공급하는 제어 시스템; 및
    상기 이송 장치의 상승, 피치 앤드 롤의 수동적 안정성을 제공하는, 상기 이송 장치의 적어도 하나의 측부에 인접한 강자성 구성 요소들의 배열;
    을 포함하는 처리 장치.
KR1020117015391A 2008-12-09 2009-12-09 기판 처리 장치 KR101729301B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/330,780 2008-12-09
US12/330,780 US8960099B2 (en) 2002-07-22 2008-12-09 Substrate processing apparatus
PCT/US2009/067309 WO2010077727A1 (en) 2008-12-09 2009-12-09 Substrate processing apparatus

Publications (2)

Publication Number Publication Date
KR20110098774A true KR20110098774A (ko) 2011-09-01
KR101729301B1 KR101729301B1 (ko) 2017-04-21

Family

ID=42310122

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015391A KR101729301B1 (ko) 2008-12-09 2009-12-09 기판 처리 장치

Country Status (4)

Country Link
US (1) US8960099B2 (ko)
JP (2) JP5941677B2 (ko)
KR (1) KR101729301B1 (ko)
WO (1) WO2010077727A1 (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101288599B1 (ko) * 2007-05-29 2013-07-22 엘지디스플레이 주식회사 기판 이송 장치
JP5280522B2 (ja) 2009-04-28 2013-09-04 キヤノンアネルバ株式会社 識別情報設定装置、および識別情報設定方法
US7957118B2 (en) * 2009-04-30 2011-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-zone electrostatic chuck and chucking method
KR101690970B1 (ko) * 2010-02-19 2016-12-29 주성엔지니어링(주) 기판 처리 시스템 및 기판 반송 방법
US9837294B2 (en) 2011-09-16 2017-12-05 Persimmon Technologies Corporation Wafer transport system
JP2013243312A (ja) * 2012-05-22 2013-12-05 Tokyo Electron Ltd 搬送装置
DE102012220008B4 (de) * 2012-11-02 2023-06-01 Syntegon Technology Gmbh Transportvorrichtung mit steuerbarem Förderelement
DE102013203066A1 (de) * 2013-02-25 2014-08-28 Robert Bosch Gmbh Transportvorrichtung und Verfahren zum Betrieb der Transportvorrichtung
TWI695447B (zh) 2013-11-13 2020-06-01 布魯克斯自動機械公司 運送設備
KR20230034417A (ko) * 2013-11-13 2023-03-09 브룩스 오토메이션 인코퍼레이티드 씰링된 로봇 드라이브
KR102665385B1 (ko) 2013-11-13 2024-05-13 브룩스 오토메이션 인코퍼레이티드 밀봉된 스위치드 릴럭턴스 모터
KR20230116962A (ko) 2013-11-13 2023-08-04 브룩스 오토메이션 인코퍼레이티드 브러쉬리스 전기 기계 제어 방법 및 장치
KR102174332B1 (ko) 2014-07-30 2020-11-04 삼성전자주식회사 반도체 제조 라인의 스토커 및 상기 스토커를 이용하여 웨이퍼를 이송하는 방법
JP6378595B2 (ja) * 2014-09-19 2018-08-22 東京エレクトロン株式会社 基板搬送装置
US10134623B2 (en) 2015-07-13 2018-11-20 Brooks Automation, Inc. On the fly automatic wafer centering method and apparatus
US9799544B2 (en) 2015-10-23 2017-10-24 Applied Materials, Inc. Robot assemblies, substrate processing apparatus, and methods for transporting substrates in electronic device manufacturing
TWI806772B (zh) 2017-08-17 2023-06-21 日商東京威力科創股份有限公司 工業製造設備中特性的即時感測裝置和方法
CN109791905A (zh) * 2017-09-15 2019-05-21 应用材料公司 用于确定载体悬浮系统的对准的方法
CN110023528B (zh) * 2017-11-09 2021-11-23 应用材料公司 用于非接触对准的方法和设备
CN112088303A (zh) 2018-06-18 2020-12-15 东京毅力科创株式会社 对制造设备中的特性的降低干扰的实时感测
US11377310B2 (en) * 2018-09-19 2022-07-05 Applied Materials, Inc. Magnetic levitation system, base of a magnetic levitation system, vacuum system, and method of contactlessly holding and moving a carrier in a vacuum chamber

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) * 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US3407749A (en) * 1966-08-31 1968-10-29 Gen Motors Corp Motor for propulsion and load support
US3470828A (en) * 1967-11-21 1969-10-07 James R Powell Jr Electromagnetic inductive suspension and stabilization system for a ground vehicle
US3771033A (en) * 1970-07-07 1973-11-06 Japan National Railway Apparatus for propelling a movable body in a suspended state at a very high speed
DE2100839A1 (de) * 1971-01-09 1972-07-20 Baermann, Max, 5060 Bensberg Durch magnetische Kräfte entlang einer Tragbahn geführtes und im Schwebezustand gehaltenes Fahrzeug
DE2160666B2 (de) * 1971-12-07 1973-09-27 Siemens Ag, 1000 Berlin U. 8000 Muenchen Elektrodynamisches Magnetsystem fur die Schwebeführung eines bewegten Fahr Zeugs
DE2220735A1 (de) * 1972-01-20 1973-11-08 Krauss Maffei Ag Anordnung zum beruehrungsfreien magnetischen tragen eines schwebefahrzeugs im bereich einer fahrbahnverzweigung
US3854412A (en) * 1972-08-25 1974-12-17 Siemens Ag Switch for use in a magnetic suspension railroad
US3937148A (en) 1973-01-02 1976-02-10 Cambridge Thermionic Corporation Virtually zero power linear magnetic bearing
US4307668A (en) * 1980-05-19 1981-12-29 Vinson Roy D Transportation system unitizing permanent magnets for levitation of a vehicle
US4518078A (en) * 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
US4624617A (en) * 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) * 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4836733A (en) * 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
JP2609588B2 (ja) * 1986-05-14 1997-05-14 株式会社東芝 浮上式搬送装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5040484A (en) * 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US5202716A (en) * 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4794863A (en) * 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5076205A (en) * 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
JPH0741992B2 (ja) 1991-05-30 1995-05-10 エヌティエヌ株式会社 磁気浮上搬送装置
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5282424A (en) 1991-11-18 1994-02-01 Neill Gerard K O High speed transport system
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JPH07508617A (ja) * 1992-06-26 1995-09-21 マティリアルズ リサーチ コーポレイション ウエハ処理工程ラインのための輸送装置
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP3338343B2 (ja) 1992-12-21 2002-10-28 大日本スクリーン製造株式会社 基板処理装置
KR970011065B1 (ko) * 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5402021A (en) * 1993-05-24 1995-03-28 Johnson; Howard R. Magnetic propulsion system
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3279032B2 (ja) * 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
US5517924A (en) * 1994-07-27 1996-05-21 The United States Of America As Represented By The United States Department Of Energy Double row loop-coil configuration for high-speed electrodynamic maglev suspension, guidance, propulsion and guideway directional switching
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5601029A (en) * 1995-03-23 1997-02-11 The United States Of America As Represented By The Secretary Of The Interior Noncontact lateral control system for use in a levitation-type transport system
JP3732250B2 (ja) * 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
TW309503B (ko) * 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) * 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) * 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (ko) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) * 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US6450103B2 (en) * 1996-05-07 2002-09-17 Einar Svensson Monorail system
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) * 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
US5881649A (en) * 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5980193A (en) * 1996-09-18 1999-11-09 Magnetic Bearing Technologies, Inc. Magnetically levitated robot and method of increasing levitation force
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
JP3751380B2 (ja) * 1996-10-11 2006-03-01 財団法人鉄道総合技術研究所 電気機械パワー相互変換装置
JPH10214872A (ja) 1997-01-28 1998-08-11 Dainippon Screen Mfg Co Ltd 基板処理装置
US5904101A (en) * 1997-04-22 1999-05-18 Power Superconductor Applications Co., Inc. Auxiliary propulsion for magnetically levitated vehicle
US5894760A (en) 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6011508A (en) 1997-10-31 2000-01-04 Magnemotion, Inc. Accurate position-sensing and communications for guideway operated vehicles
US6101952A (en) 1997-12-24 2000-08-15 Magnemotion, Inc. Vehicle guidance and switching via magnetic forces
JP4061693B2 (ja) 1998-02-05 2008-03-19 神鋼電機株式会社 電子部品製造設備
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
JP2002515645A (ja) 1998-05-12 2002-05-28 セミトゥール・インコーポレイテッド 被加工片に1つ以上の金属化レベルを形成するのに使用するための方法及び製造ツール構造体
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6206176B1 (en) * 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
NL1010836C2 (nl) * 1998-12-17 2000-06-23 O T B Engineering B V Oven voor het vervaardigen van zonnecellen.
US6435330B1 (en) * 1998-12-18 2002-08-20 Asyai Technologies, Inc. In/out load port transfer mechanism
JP2000286318A (ja) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
DE19921244A1 (de) 1999-05-07 2000-11-16 Siemens Ag Anlage zur Bearbeitung von Wafern
US6191394B1 (en) * 1999-05-19 2001-02-20 Tokyo Electron Ltd. Heat treating apparatus
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
JP4619594B2 (ja) * 1999-06-21 2011-01-26 エスアールアイ インターナショナル 摩擦のない輸送装置および方法
US6499701B1 (en) 1999-07-02 2002-12-31 Magnemotion, Inc. System for inductive transfer of power, communication and position sensing to a guideway-operated vehicle
DE19945648C2 (de) 1999-09-23 2001-08-02 Steag Hamatech Ag Vorrichtung zum Be- und Entladen von Substraten
JP2001128316A (ja) 1999-10-28 2001-05-11 Murata Mach Ltd 有軌道台車システム
JP2001143979A (ja) 1999-11-17 2001-05-25 Matsushita Electronics Industry Corp 半導体基板処理システム
WO2001038124A1 (en) 1999-11-23 2001-05-31 Magnemotion, Inc. Modular linear motor tracks and methods of fabricating same
US6364592B1 (en) * 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
JP2001189363A (ja) 2000-01-04 2001-07-10 Mitsubishi Electric Corp 半導体装置製造設備およびその制御方法
AU2001243488A1 (en) * 2000-03-07 2001-09-17 J. Kirston Henderson Magnetic levitation transport system
US6781524B1 (en) 2000-03-17 2004-08-24 Magnemotion, Inc. Passive position-sensing and communications for vehicles on a pathway
TW494523B (en) * 2000-04-05 2002-07-11 Tokyo Electron Ltd Processing device
US6641350B2 (en) * 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
JP4021125B2 (ja) * 2000-06-02 2007-12-12 東京エレクトロン株式会社 ウェハ移載装置の装置ユニット接続時に用いられるレールの真直性保持装置
US6297611B1 (en) * 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
NL1016733C2 (nl) 2000-11-29 2002-05-31 Otb Group Bv Transportinrichting geschikt voor het transporteren van ringvormige producten.
US6570273B2 (en) * 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
AU2002258579A1 (en) * 2001-03-26 2002-10-08 James Russell Powell Electrical power storage and delivery using magnetic levitation technology
US20020182036A1 (en) 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US6983701B2 (en) * 2001-10-01 2006-01-10 Magnemotion, Inc. Suspending, guiding and propelling vehicles using magnetic forces
JP4220173B2 (ja) 2002-03-26 2009-02-04 株式会社日立ハイテクノロジーズ 基板の搬送方法
KR100480333B1 (ko) * 2002-04-08 2005-04-06 엘지.필립스 엘시디 주식회사 액정표시장치용 어레이기판과 그 제조방법
NL1020633C2 (nl) 2002-05-21 2003-11-24 Otb Group Bv Samenstel voor het behandelen van substraten.
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
CN1759051B (zh) 2002-07-22 2014-01-08 布鲁克斯自动化公司 衬底处理装置
DE10251382A1 (de) 2002-11-01 2004-05-13 Siemens Ag Verfahren zur Betätigung einer Sperrklinke in einem Schloss mit Drehfalle für ein Kraftfahrzeug
JP2004237842A (ja) 2003-02-05 2004-08-26 Matsushita Electric Ind Co Ltd 電子運転免許証システムおよび電子運転免許証利用方法
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
JP4566574B2 (ja) 2004-02-13 2010-10-20 大日本スクリーン製造株式会社 基板処理装置
WO2008024225A2 (en) 2006-08-18 2008-02-28 Brooks Automation, Inc. Reduced capacity carrier, transport, load port, buffer system

Also Published As

Publication number Publication date
JP2012511812A (ja) 2012-05-24
WO2010077727A1 (en) 2010-07-08
US20090162179A1 (en) 2009-06-25
JP6017508B2 (ja) 2016-11-02
JP5941677B2 (ja) 2016-06-29
US8960099B2 (en) 2015-02-24
JP2015043457A (ja) 2015-03-05
KR101729301B1 (ko) 2017-04-21

Similar Documents

Publication Publication Date Title
KR101729301B1 (ko) 기판 처리 장치
US8371792B2 (en) Substrate processing apparatus
KR101028065B1 (ko) 기판 처리 장치
US8602706B2 (en) Substrate processing apparatus
TWI486999B (zh) 基板處理裝置
US20070183871A1 (en) Substrate processing apparatus
CN115427253A (zh) 衬底加工装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant