KR20110094205A - 산소 함유 전구체를 사용한 유전체 장벽 증착 - Google Patents

산소 함유 전구체를 사용한 유전체 장벽 증착 Download PDF

Info

Publication number
KR20110094205A
KR20110094205A KR1020117015317A KR20117015317A KR20110094205A KR 20110094205 A KR20110094205 A KR 20110094205A KR 1020117015317 A KR1020117015317 A KR 1020117015317A KR 20117015317 A KR20117015317 A KR 20117015317A KR 20110094205 A KR20110094205 A KR 20110094205A
Authority
KR
South Korea
Prior art keywords
dielectric film
film
barrier
substrate
precursor
Prior art date
Application number
KR1020117015317A
Other languages
English (en)
Other versions
KR101406154B1 (ko
Inventor
라우라 엠. 매츠
레이몬드 니콜라스 브르티스
마크 레오나르드 오'네일
디노 시나토레
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20110094205A publication Critical patent/KR20110094205A/ko
Application granted granted Critical
Publication of KR101406154B1 publication Critical patent/KR101406154B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

보다 낮은 유전 상수 및 우수한 전기적 특성을 포함하는 개선된 장벽 유전체 특성을 지닌 규소, 탄소, 산소 및 수소를 갖는 전구체를 포함하는 유전체 장벽 막을 증착시키는 방법이 제공된다. 본 방법은 인터커넥트 구조에 다마신 또는 이중 다마신 집적에 사용되는 장벽층 또는 그 밖의 유전체 장벽 용도로 중요하다. 이러한 예로, 장벽 성능을 개선시키는 특정 구조적 특성이 주지되어야 한다.

Description

산소 함유 전구체를 사용한 유전체 장벽 증착{DIELECTRIC BARRIER DEPOSITION USING OXYGEN CONTAINING PRECURSOR}
관련 기술의 상호 참조
본 발명은 2008년 12월 1일에 출원된 미국 가출원 번호 61/118,769호의 우선권을 주장한다.
초소형 전자 공학 산업에서, 패턴 밀도 축소는 상당한 성과 이익을 가질 수 있게 하며 무어의 법칙에 따른 예상할 수 있는 2년 사이클에서 계속해 일어난다. 디바이스의 작동을 유지하거나 개선하기 위해, 트랜지스터 및 인터커넥트 수준 변화가 이루어졌다. 보다 구체적으로 인터커넥트 구조(일반적으로 배선 공정(BEOL)으로서 나타내어짐)에 초점을 맞추면, 치수의 축소는 허용할 수 있는 선 저항(line resistances)을 유지하기 위해 알루미늄으로부터 구리로 금속화의 변화가 일어나게 되었다. 구리 미세 입자들 사이의 적당한 커패시턴스를 유지하기 위해, 구리선을 둘러싼 유전체 또는 절연막도 변화하여 패턴 변화에 필요한 집적도(integration) 변화를 보상하게 되었다. 절연막의 커패시턴스를 최소화하기 위해, 유전체의 유전상수는 이상적으로는 연속적으로 감소되어야 한다. 층간 절연막("ILD")을 위해, 이 변화는 유기실리케이트 유리를 치밀하게 하기 위해 이산화규소로부터 플루오로실리케이트 유리로 그리고 최종적으로는 각각 4.0, 3.3-3.7, 2.7-3.1, 및 <2.6의 k값을 갖는 다공성 유기실리케이트 유리로 연속적으로 일어난다.
일반적으로, ILD 절연막은 유전체 내에 수분을 유지할 수 있다. 구리에서 신뢰성 문제를 초래할 수 있는 산화가 신속히 일어날 수 있다는 점에서, 장벽 유전체는 일부의 유전체 스택(stack)을 포함하여 구리선 및 ILD 막 사이의 확산 장벽으로서 제공되도록 하고, ILD로부터 구리 표면으로의 물의 확산을 방지하고 ILD 막으로의 구리 확산을 막는다. ILD 막에 대한 경향과 대조적으로, 장벽 유전체는 유전체가 인터커넥트 구조 내에 제공되는 신뢰성 기능 때문에 상당한 크기의 변경은 일어나지 않는다. 그러나, ILD 막의 유전상수에서의 불균일한 크기 변경이 장벽 유전체와 관련된다는 점에서, 현재는 종래의 기술 노드(nodes) 보다 장벽의 커패시턴스 기여도가 인터커넥트 구조의 전체 커패시턴스에 더욱 중요하다.
다른 반도체 어플리케이션, 예컨대 광전 변환 소자(photovoltaics) 및 박막 디스플레이 디바이스도 더욱 낮은 k값의 유전체 장벽 막을 필요로 한다. 이러한 용도에 있어서, 구리 확산 특성에 대한 요건은 요구되지 않으나, 투명도, 습식 화학제 내성(wet chemical resistance), 및 높은 기계적 강도와 같은 다른 추가의 요건이 존재한다. 또한, 밀도, 굴절 지수, 막 조성물 및 전기적 특성에 대해 유전체 특성을 조정하는 능력이 중요하다.
본 발명에서, 실록산 전구체이 혼입된 플라즈마 증강된 화학 증기 증착(plasma enhanced chemical vapor deposition: PECVD) 공정은 적절한 장벽 특성을 계속 유지하면서도 현 장벽 유전체 막과 비슷하거나 더욱 낮은 유전상수를 갖는 유전체 막을 제공한다. 현 산업 표준 전구체, 3MS(트리메틸실란) 또는 4MS(테트라메틸실란)은 우수한 특성을 제공하지만 이들의 유전 상수를 감소시키는 것은 어렵다.
종래 기술은 보다 낮은 유전 상수를 제공하는 알킬알콕시실란에 대한 특정 공정 조건을 요구한다. 이러한 상황에서, 챔버 내 반응 가스의 체류 시간은 가스에 대한 충분한 반응성을 제공하여 선형 실록산 막을 얻도록 100ms 초과이다. 종래 기술은 또한 3.1 미만의 보다 낮은 유전 상수를 갖는 것이 요망되며, 이것은 챔버 내 전구체의 체류 시간을 증가시킴으로써 달성된다고 시사한다.
본 발명의 분야와 관련된 종래 기술로는 US2006/0251876A1, US6383955, 및 US2001/0021590이 포함된다.
발명의 요약
본 발명은 집적 회로 기판의 유전체 막과 구리 피쳐 사이에 장벽 유전체 막을 형성시키는 방법으로서,
구리 피쳐를 형성시키기 전에 유전체 막을 갖는 집적 회로 기판을 제공하는 단계;
상기 기판을 화학식 RxR'y(OR")Z(OR"')aSi(여기서, R, R" 및 R"'은 각각 개별적으로 메틸, 에틸 및 비닐로 이루어진 군으로부터 선택되고; R'는 수소, 메틸, 에틸 및 비닐로 이루어진 군으로부터 선택되며, x, z 및 a는 각각 개별적으로 1 내지 3이고, y는 0 내지 2이고, x + y + z + a = 4이다)의 장벽 유전체 막 전구체과 접촉시키는 단계;
플라즈마 증강된 화학 증기 증착을 사용하여 기판 상에 장벽 유전체 막을 형성시키는 단계를 포함하는 방법에 관한 것이다.
일 구체예에서, 본 발명은 집적 회로 기판의 유전체 막과 구리 피쳐 사이에 장벽 유전체 막을 형성시키는 방법으로서,
구리 피쳐를 형성시키기 전에 유전체 막을 갖는 집적 회로 기판을 제공하는 단계;
상기 기판을 디에톡시메틸실란, 디메틸디에톡시실란 및 이들의 혼합물로 이루어진 군으로부터 선택된 장벽 유전체 막 전구체 및 수소, 헬륨, 암모니아 및 이들의 혼합물로 이루어진 군으로부터 선택된 화학제와 접촉시키는 단계;
100℃ 내지 400℃ 범위의 온도에서 플라즈마 증강된 화학 증기 증착을 사용하여 기판 상에 장벽 유전체 막을 형성시키는 단계; 및
장벽 유전체 막 상에 구리 피쳐를 형성시키는 단계를 포함하는 방법에 관한 것이다.
또 다른 실시예에서, 장벽 유전체가 광전 변환 소자 또는 평판 디스플레이와 같은 용도를 위해 확산 방지 특성을 요하는 기판 상에 형성된다.
본 발명의 일 구체예에서, 절연 막 특성은 막의 유전 상수 및 이후 밀도를 조절하기 위해 선형 알킬알콕시실란 전구체를 갖는 반응 플라즈마에 다양한 수준의 수소 가스를 혼입시킴으로써 조절된다. 또한, 요망되는 막 내의 Si-C 결합으로의 CxHy 전환 수준을 조절하기 위해 전구체 가스에 대한 수소의 비를 유지하되, 챔버내 압력 또는 RF 파워(power)를 변경함으로써 유사한 특성이 달성될 수 있다. 특정 증착 조건 하에서, 플라즈마 에너지 및 수소 수준은 막의 유전 상수 및 밀도에 직접적으로 상관성을 갖는다.
또 다른 구체예에서, 보다 낮은 열소모 비용(thermal budget)으로 기판 상에 증착시키기 위해, 기판 온도가 보다 낮은 온도로 변형된다. 이러한 실시예에서, 증착 조건은 1.5g/cc 초과의 밀도와 함께 3.5 또는 그 초과의 k 값을 포함하는 요망되는 절연 특성을 달성하기 위해 보다 높은 전구체에 대한 수소의 비를 요구하고, 전구체에 열에너지를 덜 부여한다.
또 다른 구체예에서, 집적 공정의 기타 요망되는 특징에 적합하도록 400℃ 이하의 보다 높은 온도에서 실리콘 옥시카바이드 함유 절연 유전체 막을 증착시키는 것이 요망된다.
도 1은 전구체로서 디메틸디에톡시실란(DMDES)을 사용한, 일련의 장벽 막에 대한 막 굴절 지수와 유전 상수 간의 상관성을 보여주는 그래프이다.
도 2는 DMES(디메틸에톡시실란), DMDMOS(디메틸디메톡시실란), DMDES(디메틸디에톡시실란), 및 MESCP(메틸에톡시실라시클로펜탄)에 대한 밀도(g/cc) 대 k 값의 그래프이다. 본 연구에서 막은 모두 유사한 증착 조건으로 350℃에서 증착되어 전구체 영향을 직접적으로 비교하였다.
도 3은 (i) 액체 운반 가스로서 헬륨 + 반응 가스로서 수소; 및 (ii) 액체 운반 가스로서 헬륨 만을 사용한, 250℃ 및 350℃에서 수행된 DMDES(디메틸디에톡시실란)에 대해 달성된 k 값 범위의 그래프이다.
도 4는 DEMS(디에톡시메틸실란) 및 DMDES(디메틸디에톡시실란)의 FTIR 스펙트럼을 비교한 것이다.
도 5는 굴절 지수와 상관된 Si-CH3 FTIR 적분 피크 면적을 나타내는 그래프이다. 이 그래프에서 막은 액체 운반 가스로서 헬륨을 사용하여 DMDES 및 수소로 증착되었고, 막의 결과는 180℃, 200℃, 250℃ 및 300℃를 포함하는 150℃ 내지 350℃ 범위의 온도 및 광범위한 증착 조건에 대해 측정된 것이다.
도 6은 챔버내 가스 체류 시간과 실리콘 옥시카바이드 유전 상수 간의 상관성에 대한 그래프이다. 체류 시간 계산은 하기 식(1)을 이용하였으며, 여기서 대표적인 예가 표 3에 제시된다.
도 7은 상이한 도펀트 가스로 증착된 실리콘 옥시카바이드 막으로의 12분 광대역 UV 노출에 대한 k 값의 변화를 비교한 막대 그래프이다.
도 8은 5개의 상이한 증착 압력 및 유량 조건 및 각각의 5가지 세트의 조건에 대해 상이한 기판 온도, 150℃, 180℃, 200℃ 및 250℃에 의한 Si-CH3 FTIR 적분 피크 면적을 보여주는 막대 그래프이다. 이 그래프에서 막은 액체 운반 가스로서 헬륨을 사용하여 DMDES 및 수소로 증착되었다. 5개의 특정 증착 조건은 표 5에 기재된다.
도 9는 다양한 챔버 증착 온도에서 DMDES, 헬륨 운반 가스 및 수소 반응 가스로 증착된 실리콘 옥시카바이드 막에 대해 측정된 밀도 및 k 값의 막대 그래프이다. 온도가 감소됨에 따라 동일한 막 특성을 달성하도록 DMDES:수소 가스 비가 조절되었다. 상기 그래프는 180℃, 200℃ 및 250℃를 포함하는 150℃ 내지 350℃의 온도 범위에 대해 유사한 밀도 및 유전 상수를 달성할 수 있음을 입증한다.
기술된 본 발명은 장벽 특성을 개선시키기 위해, 규소, 탄소, 산소 및 수소를 포함하는 알킬알콕시실란 전구체를 사용하여 막을 증착시키는 것에 관한 것이다. 플라즈마 증강된 화학 증기 증착(PECVD)이 실록산 종을 He, 아르곤, 이산화탄소, 질소, 암모니아, 수소 또는 산소를 포함하는 여러 도펀스 가스와 반응시키는데 사용된다.
비록 단일 공정 단계가 바람직하지만, 본 발명의 범위 내에 있는 많은 예에서는 막 증착 후 후처리(post-treat)를 수행한다. 이러한 후처리는 하나 이상의 막 특성을 개선하기 위해, 예를 들어, 하나 이상의 열적 처리, 플라즈마 처리, 자외선/가시선(Vis)/적외선(IR) 조사, 및 화학적 처리를 포함할 수 있다. 예를 들어, 후처리는 바람직한 밀도 및/또는 응력을 유지하면서도 더욱 낮은 유전상수를 제공할 수 있다. 다르게는, UV 경화의 추가가 4.5를 초과하지 않는 유전 상수에 대해 최소의 영향으로 막에 고화 또는 치밀화(densification)을 제공할 수 있다.
에너지를 가스 시약에 가하여 가스를 여기시켜 반응시키고 기판상에 막을 형성시켰다. 이러한 에너지는 예를 들어, 플라즈마, 펄스식 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 및 리모트 플라즈마 방법에 의해 제공될 수 있다. 2차 라디오파(rf) 주파수원이 기판 표면에서 플라즈마 특성을 개질하는데 사용될 수 있다.
각 가스 시약의 유량은 단일 200 밀리미터(mm) 웨이퍼당, 10 내지 5000 sccm(분당 표준 입방 센티미터)의 범위가 바람직하며, 보다 바람직하게는 200 내지 2000 sccm이다. 총 유량은 적절한 전구체 반응을 위해 400sccm 초과인 것이 요망된다. 액체 화학물질 흐름은 0.1 내지 10 그램(g)/분, 바람직하게 0.5 내지 3 g/분의 범위이다. 개개의 속도들은 막에서, 규소, 탄소, 질소, 수소, 등의 바람직한 양 및 비율을 제공하도록 선택된다. 필요한 실제 흐름 속도는 기판 크기 및 챔버 배치에 의존할 수 있으며, 결코 200 mm 웨이퍼 또는 단일 웨이퍼 챔버에 제한되지 않는다.
증착 동안 진공 챔버 내 압력은 바람직하게 0.01 내지 760 torr이며, 보다 바람직하게는 1 내지 20 torr이다.
비록 두께는 필요로 하는 만큼 변화될 수 있지만, 막은 0.002 내지 10 마이크론의 두께로 증착되는 것이 바람직하다. 비-패턴화된 표면상에 증착된 블랭킷 막(blanket film)은 합리적인 엣지 배제와 함께, 기판 전체에 걸쳐 1 초과 표준편차 2% 미만의 두께에서의 변화를 갖는 우수한 균일성(uniformity)을 가지며, 여기서 예를 들어, 기판의 10 mm 최외곽 엣지(edge)는 균일성의 통계학적 계산에 포함되지 않는다.
막의 밀도는 물질의 상응하는 일반적으로 증가된 유전상수와 이러한 물질의 미래 세대에의 적용 가능성 확장으로 증가될 수 있다. 앞서 보다 자세히 논의된 바와 같이, 증착 조건으로 막 특성을 변형시킬 수 있다는 것은 당업계에 알려진 일반적인 지식일 것이다.
본 발명의 막은 바람직하게 1.5 g/cc 또는 초과의 밀도, 또는 바람직하게는, 1.8 g/cc 또는 초과의 밀도를 갖는다.
본 발명의 막은 다른 후보 전구체 예컨대 트리메틸실란으로부터 제조된 공지된 막과 관련하여 개선된 특성을 갖는다. 특정 구체예에서, 막은 6.0 미만, 바람직하게는 6.0 내지 3.2, 보다 바람직하게는 6.0 내지 3.5, 보다 더 바람직하게는 4.2 내지 3.2, 가장 바람직하게는 4.2 내지 3.5 범위의 유전 상수를 갖는다.
본 발명의 막은 열적으로 안정하고, 우수한 화학적 내성을 갖는다.
막은 다양한 용도에 적합하다. 막은 특히 장벽 막으로서 사용되어 종들이 다른 집적층으로 확산되는 것을 막는데 유용하다. 한 구체예에서, 증착은 반도체 기판상에서 수행되며, 구체적으로, 예를 들어, 집적 회로에서 절연막, 캡핑층(capping layer), 화학-기계적 평탄화(CMP) 또는 에칭 정지층, 장벽층(예를 들어, 절연막에서 바람직하지 않을 수 있는 금속, 물 또는 다른 물질들의 확산을 막는) 및/또는 접착층으로서 사용하기에 적당하다. 막은 등각(conformal) 코팅을 형성할 수 있다. 이들 막에 의해 보여지는 기계적 특성은 이들을 AI 감하는(subtractive) 기술 및 Cu 다마신 기술에 특히 적합하게 만든다.
막은 화학적 기계적 평탄화 및 이방성(anisotropic) 에칭, 및 구리 접합 처리 공정과 양립할 수 있으며, 예컨대 실리콘, SiO2, Si3N4, 유기실리케이트 유리(OSG), 플루오로실리케이트 유리(FSG), 실리콘 카바이드, 항반사 코팅, 포토레지스트, 유기 고분자, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 및 금속 장벽층과 같은 다양한 재질에 접합할 수 있다.
비록 본 발명이 구체적으로 막을 제공하기에 적합하고, 본 발명의 생성물이 여기에 주로 막으로서 기재되었으나, 본 발명은 이에 제한되지 않는다. 본 발명의 생성물은 화학 증기 증착(CVD) 또는 원자층 증착(ALD)에 의해 증착될 수 있는 임의의 형태, 예컨대 코팅, 멀티라미너 어셈블리(muitilaminar assemblies), 및 평탄화 또는 박막화를 필요로 하지 않는 다른 형태의 물체(object), 및 집적 회로에 사용될 필요가 없는 다수의 물체에 제공될 수 있다.
실록산 전구체는 우수한 에칭 선택성, 탁월한 전기 특성, 예컨대, 감소된 누설, 보다 높은 전기 방전(electrical breakdown), 감소된 유전 상수 및 요구되는 요망 범위에 대한 이러한 특성을 의미있게 조정할 수 있는 융통성을 포함하는 개선된 장벽 특성을 제공한다.
본 발명에서, 전구체 구조물의 특정 바람직한 특징은 바람직한 우수한 장벽 특성을 제공한다는 것이다. 일반식 RxR'y(OR")Z(OR"')a-Si의 알킬알콕실란이 R, R" 및 R"'기가 C2H5 또는 CH3이고, R'이 수소이고, x, y, z 및 a가 각각 1 내지 3이고, x + y + z + a = 4이나, 단, R'가 수소라면 y = 0-2인 경우에 바람직한 특성을 갖는 것으로 나타났다. 특정 실시예에서, 2개의 알킬기의 혼입이 유전체 막의 굴절 지수를 증가시키고, 2개의 알콕시기가 요망되는 밀도/k 값 관계를 개선시키는 것으로 나타났다.
본 발명에서, 본 발명자들은 일반적으로 장벽 유전체 막을 위한 3MS 또는 4MS의 대체재로서의 아미노실란이 유전상수를 유지하거나 감소시키면서도 유전체 막의 장벽 특성을 개선할 수 있다는 점을 발견하였다. 일 구체예에서, 디메틸디메톡시실란(DMDMOS)의 특이적 전구체 구조는 장벽 막에 요구되는 요망되는 막 특성인 밀도 및 유전 상수의 균형을 맞추는 경우에 최적의 장벽 특성을 제공한다.
본 발명에서는, 알킬알콕시실란 전구체를 혼입하여 산소 함유 실리콘 카바이드 막을 형성시키는 플라즈마 증강된 화학 증기 증착이 기술된다. 통상적으로, 표준 장벽 유전체 증착 공정은 알킬실란(즉, 트리메틸실란 및 테트라메틸실란)과 산화제(이산화탄소, 산소, 또는 아산화질소) 또는 질소 함유 반응 가스(질소 및 암모니아)를 혼입하여 산소 또는 질소 실리콘 카바이드 막을 형성시킨다. 그러나, 계속 바람직한 밀도를 유지하면서 어떻게 이 증착을 위한 낮은 유전상수가 크기 변화될 수 있을지에 대한 한계가 있다.
에칭 정지막으로서 사용하기 위해, ULK(초 로우 K) 막 및 장벽 유전체 막 사이의 적절한 막 선택성이 달성될 필요가 있다. 더욱 높은 굴절 지수 장벽막은 일반적으로 보다 나은 선택성, 특히 > 1.5를 제공한다. 본 발명자들의 시험 동안, 더욱 높은 굴절 지수 막을 얻기 위해, 상술한 일반적 전구체 구조인 (Rx)(R'y)Si(OR"z)(OR"'a)에서, R 및 R'는 일반식 CbHc(여기서, b = 1-2이고, c = 3 또는 5이다)을 갖는 선형 알킬기이어야 하는 것으로 나타났다.
장벽 유전체 막 전구체는 바람직하게는, 디에톡시메틸실란; 디메틸에톡시실란; 디메틸디에톡시실란; 디에틸디에톡시실란; 디메틸디메톡시실란; 메틸디에톡시실란; 메틸에톡시실란; 메틸메톡시실란; 에틸에톡시실란; 에틸메톡시실란; 메틸트리에톡시실란; 에틸트리에톡시시란; 메틸트리메톡시실란; 메틸트리메톡시실란; 에틸트리메톡시실란; 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 매우 바람직하게는 장벽 유전체 막 전구체는 디메틸디에톡시실란이다.
일 구체예에서, R이 CH3이고, R'가 H인 디에톡시메틸실란으로의 시험으로 굴절 지수가 시험된 모든 공정 조건에 대해 상당히 낮은(<1.5) 것으로 나타났다. R'기가 CH3인 것으로, 즉, 디메틸디에톡시실란으로 변형함으로써, 평균 굴절 지수가 증가하고, 챔버 공정 조건을 통해 또는 도펀스 가스의 변형을 통해 증착 조건을 변형함으로써 1.5 내지 2.2 범위로 변형될 수 있다. 일 특정 구체예에서, 반응 가스로서 수소 첨가는 굴절 지수를 1.8초과의 근접 값으로 증가시킨다. 이러한 예가 도 1에 기재되어 있으며, 이 경우, k 값에 대한 굴절 지수의 상관성이 디메틸디에톡시실란으로 증착된 절연 막및 변화량의 수소에 대해 입증되었다. 그래프에 도시된 바와 같이, 상기 막에 대한 굴절 지수 값은 증강된 에칭 선택성에 대해 1.5 초과, 바람직하게는 1.6 초과로 유지된다.
장벽막의 2차적인 바람직한 특성은 장벽 확산 특성, 특히 수분 확산을 막는 것이며, 이는 전자 디바이스에서 신뢰성 결핍(reliability failure)에 기여할 수 있다. 막 밀도는 일반적으로 확산 특성의 강력한 표지자로서 사용된다. 산업에서 장벽막 기술의 현 상태는 일반적으로, 전구체로서 트리메틸실란 또는 테트라메틸실란을 이용하여 1.8-2.0 g/cc의 밀도를 갖는다. 그러나, 종래 기술에서 전구체의 문제점은 적합한 장벽 특성에 요구되는 바람직한 밀도를 얻기 위해서는, 유전상수의 크기를 변경하는 것이 어렵다는 점이다.
본원에 도시된 몇몇 알킬알콕시실란 전구체에 의한 초기 시험은, 산소 함유 규소 전구체를 사용하여, k가 감소되면서 여전히 상당히 높은 밀도의 막을 유지시킬 수 있음을 입증한다. 도 2에서, 세개의 선형 알킬알콕시실란 전구체, 즉, 디메틸에톡시실란(DMES); 디메틸디메톡시실란(DMDMOS); 디메틸디에톡시실란(DMDES), 및 메틸에톡시실라시클로펜탄(MESCP)에 대한 밀도와 k 값 간의 상관성이 도시되어 있다. 밀도/k 관계가 보다 높은 비(기울기)인 경우, 결과는 동일한 공칭 유전상수에 대해 보다 치밀한 막이 될 것이다. 1.5g/cc의 최소 밀도가 요망되는 경우, 본 발명의 전구체인 DMES, DMDMOS 및 DMDES 각각에 대한 그래프의 기울기가 1.5g/cc 및 k = 3.2에서 모아짐에 따라 이러한 본 발명의 전구체는 상기 최소 밀도에서 3.2에 근접하는 유전 값을 가짐을 알 수 있다. 이러한 MESCP에 대해 바람직하지 않은 결과는 규소 원자에 결합된 비선형 탄화수소 기에 기인한다.
보다 구체적으로, 본 발명의 목적은 높은 밀도/k 비를 제공하는 전구체를 가짐으로써 밀도를 유지시킬 수 있으면서 유전 상수를 감소시키거나 밀도를 증가시키고, k 값을 유지시키는 것이다. 하기 표 1에 기재된 바와 같이, 이러한 k 값에 대한 밀도 관계에 대한 선형 곡선 맞춤 파라미터를 도 2의 3개의 선형 알킬알콕시실란 뿐만 아니라 메틸에톡시실라시클로펜탄(MESCP)에 대해 나타내고 있다. 입증된 바와 같이, 이러한 관계에 대한 비 또는 기울기가 0.3 초과 및 0에 가까우나 보다 구체적으로, 0.3보다 작은 보다 낮은 y 절편을 갖는 것이 요망된다. 일반적으로, 선형 알킬기가 동일한 k 값에 대해 개선된 밀도를 제공하는 것을 나타난다. 이러한 부류 내에서, R" 및 R"'에 대해 에틸 작용기 및 R 및 R'에 대해 메틸 작용기의 바람직한 구조를 갖는 것이 추가로 유리하다. 이러한 점은 하기에서 자세히 기재될 것이나, 이러한 작용기 둘 모두를 혼입한 디메틸디메톡시실란(DMDES)에 의해 최상의 밀도/k 결과가 얻어짐을 주지하는 것이 중요하다.
몇몇 집적 설계에서는, 유전체 증착 이전에 구리에 노출될 것이다. 이러한 경우에, 구리 산화 및 감소된 접착에 대한 우선성으로 인해 산소 함유 막이 구리에 직접 증착될 수 없다. 이러한 특정 집적 설계에서, 실리콘옥시카바이드 증착 전에, 인터페이스층이 충분한 접착을 위해 요구된다. 일 구체예에서, 인터페이스층은 무전해 도금된 CoWP 또는 그 밖의 구리 처리 공정일 수 있으며, 이는 산화로부터 보호할 수 있다. 또 다른 실시예에서, 인터페이스층은 보다 높은 k 값의 얇은 질소 실리콘 카바이드 또는 실리콘 니트라이드일 수 있으며, 이때 두께는 20 내지 600 옹스트롬(Å) 범위일 수 있다. 또 다른 구체예에서, 인터페이스층은 우수한 인터페이스를 형성하는데 사용되는 택일적 표면층이 될 수 있으며, 이것이 또한 산소 확산 특성을 나타낸다.
표 1: 규소에 결합되는 구조적 기가 달라진 4개의 알콕시 실란 전구체에 대한 밀도 대 k 값 곡선 맞춤 관계의 비교.
Figure pct00001
일 구체예에서, 희석 가스로서 수소 및 헬륨을 사용하는 것이 바람직한 증착 조건이다. 증가하는 밀도 및 k 값 간의 선형 관계가 도시된 도 2에서 이미 성립되어 있는 바와 같이, 낮은 k 값(즉, <4.2)과 함께 적절한 밀도에 대한 요건의 균형을 맞추기 위해 3.5 내지 4.2 범위의 k 값이 요망된다. 일 구체예에서, 헬륨이 액체 전구체에 대한 운반 가스로서 사용되고, 수소가 반응 가스로서 사용되어 막에 혼입되는 알킬기의 수준을 조절한다.
도 3에서, 헬륨 단독 뿐만 아니라 헬륨 운반 가스에 첨가된 수소와 함께 전구체로서 DMDES을 사용한, 장벽 막 증착을 위한 평균 k 값 범위가 기재된다. 이러한 실시예에서, 요망되는 범위내의 k 값을 얻기 위해, 100 내지 800sccm 유량의 수소가 그러한 특성을 보다 쉽게 달성되도록 개선시킨 것으로 나타났다. 수소에 의한 특성의 개선은 플라즈마에서 생성되는 H 라디칼 종에 기원하는 것으로 여겨진다. 수소 라디칼은 DMDES 구조에서의 CH3 종으로부터의 수소와 반응할 수 있고, 보다 높은 비율로 Si-C 결합으로 전환할 수 있으며, 이에 따라 보다 높은 밀도, 및 다소 높은 k 값의 막을 가능하게 한다. Si-C로의 전환은 또한 헬륨 플라즈마 조건에서도 발생할 것이나, 효율이 보다 낮은 것으로 여겨진다. 도 2의 데이터에 기초하면, 밀도/k 관계는 도펀트 조건에 의해 크게 변경되지 않으나, 요망되는 k 값 범위를 가능하게 하는 특정 조건이 존재한다. 또한, 도 3에는, 이러한 k 값 범위의 효과가 250℃ 및 350℃와 같은 보다 낮은 증착 온도로 달성될 수 있음이 도시되어 있다.
우수한 에칭 선택성 및 장벽 특성을 달성하기 위해, 일반적으로 선형 알킬기의 전환에 의해 결합하는 소정의 실리콘 카바이드가 요구되는 것으로 여겨진다. 도 4에서, 두개의 선형 알킬알콕시실란 전구체, 즉, 디에톡시메틸실란 및 디메틸디에톡시실란으로 증착된 두개의 막에 대한 FTIR 분석이 도시된다. 정성 스케일(qualitative scale)에 대해, Si-C(860cm-1) 결합에 대한 Si-O(~1100cm-1)의 비는 2:1 피크 면적 비에 근접하는 것이 요망된다. 디에톡시메틸실란 막 결합은 1270cm-1에서의 각각의 피크 면적에 의해 도시된 바와 같이, 전구체 구조에 혼입되는 단일 메틸기로 인해 Si-C 보다 훨씬 더 높은 수준의 Si-O 결합을 갖는다. 대조적으로, 디메틸디에톡시실란 막은 막 내의 보다 큰 SiC 결합에 상응하여 860cm-1에서 DMDES 스펙트럼에 대해 상승된 피크 면적으로 나타난 바와 같이 보다 큰 수준의 Si-C 결합 및 보다 낮은 수준의 SiO:SiC를 나타낸다. 또한, 1237cm-1에서의 Si-CH3 피크 면적이 Si-CH3로부터 Si-C 결합으로의 전환으로 인해 DMDES 스펙트럼에서 감소된다는 것이 주지되어야 한다.
보다 구체적으로, 전구체의 구조 및 말단기의 수를 조절하는 능력이 k 값과 직접적으로 상관되는 것으로 밝혀졌다. 도 5에서는, 막에 대한 굴절 지수(및 상응하는 k 값)과 Si-CH3 적분 피크 면적 간에 직접적인 상관성이 존재한다. 막의 굴절 지수가 증가함에 따라, 막내 증가된 Si-C 결합으로 인해 Si-CH3 피크 면적은 감소한다. 그러므로, 막 특성을 조절하는 핵심은 특히 수소가 함께 사용되는 DMDES의 바람직한 구체예에 대한 막 특성을 조절하는 핵심은, 요망되는 수준의 Si-C 결합을 위해 메틸 알킬기와의 반응을 조절하는 것을 통해서이다.
본 발명에서는 PECVD 증착 조건으로 알킬알콕시실란 전구체를 일반적으로 증착하는 것이 기술될 것이다. 전형적인 증착 온도는 100℃ 내지 500℃이고, 바람직한 증착 범위는 150℃ 내지 400℃이다. 예로는 150℃, 180℃, 200℃, 250℃ 또는 350℃에서 증착될 것이다. 또한, 바람직한 작업 PECVD 증착 조건이 하기 표 2에 기재된다.
표 2: PECVD 증착 조건의 요약
Figure pct00002
현재 3MS 또는 4MS 장벽 유전체 막과 관련된 또다른 가능한 문제점은 자외선("UV") 조사 또는 전자빔에 노출된 막 특성(구체적인 특성으로는, 예컨대, 유전상수에서의 증가 또는 막 응력에서의 변화)에서의 변화이다. 몇몇 집적 설계에서, 막 특성에 편입되거나 막 특성을 변화시킬 수 있는 UV의 사용이 신뢰성 또는 다른 전기적 측정 기준, 예컨대 집적 커패시턴스에 잠재적 문제를 일으킬 수 있다. 아래의 실시예에서, 특정 증착 가스(즉, 암모니아 및 수소)와 알킬알콕시실란의 사용은 UV 노출에 의한 k 변화에 있어 막의 민감도를 감소시키고 응력을 변화시킨다.
종래 기술에서, 알킬알콕시실란이 반응 및 이후 막의 밀도를 개선시키기 위해 연장된 체류 시간(>100ms) 동안 PECVD 반응기에 공급된다고 명시되어 있다. 본원에서 제시된 시험 및 실시예에서, 전구체에 기초한 체류 시간은 하기 사전 정의되어 있는 식을 기초로 하여 85msec 미만, 바람직하게는 10 내지 70msec 정도, 보다 바람직하게는 20 내지 65msce이다:
Figure pct00003
(1)
상기 식에서,
Pr은 반응 챔버 압력(Pa)이고,
Ps는 표준 대기압이고(Pa)이고,
Tr은 반응 가스의 평균 온도(K)이고,
Ts는 표준 온도(K)이고,
Rw는 실리콘 기판의 반경(m)이고,
D는 실리콘 기판과 상부 전극 간의 공간(m)이고,
F는 반응 가스의 총 유량(sccm)이다.
상기 식 및 본 발명의 표준 작업 조건에 기초하여, 도 6은 전구체 체류 시간과 달성된 k 값 간의 관계를 도시하고 있다. 일반적으로, 전구체의 우수한 반응을 위한 요망되는 체류 시간 및 3.5초과의 k 값 조절(이는 요망되는 밀도에 상응한다)이 종래기술의 100msec보다 훨씬 짧은 시간에서, 바람직하게는 70msec 미만에서, 보다 바람직하게는 65msec 미만에서 달성된다. 체류 시간이 증가됨에 따라 k 값은 감소되며, 이것은 종래 기술과 일치한다. 그러나, 우수한 장벽을 위한 밀도는, 도 2에 제시된 데이터 요약에 기초하면 3.2 초과이어야 한다고 요구한다. 표 3은 본 발명에서 보고된 실험 결과에 대한 표준 조건에 기초하여 계산된 체류 시간의 범위를 보여준다. 80msec 초과의 체류 시간을 달성하기 위해, 유량은 본 발명의 표준 작업 조건으로부터 크게 감소될 것을 요구하였으며, 종래 기술에서 제안된 바와 같은 요망되는 막 특성을 제공하는 것으로 나타나지 않았다.
표 3: 350℃에서 DMDES를 사용한 표준 조건에 대해 계산된 체류 시간
Figure pct00004
실시예
실시예 1
디메틸디에톡시실란을 표 2의 바람직한 조건 하에서 증착시킨 후, 12분간 광대역 UV(예를 들어, Fusion H + 전구)로 처리하였다. 헬륨, 수소 및 암모니아를 사용하여 세가지의 증착 작업을 수행하였다. 도 7에 도시되어 있는 바와 같이, 유전 상수(k)의 변화가 디메틸디에톡시실란을 증착하는 경우에 수소 또는 암모니아의 도펀트 가스를 사용하여 최소화될 수 있으며, 이 경우, 형성된 막은 후에 후속 막 처리 동안에 UV 조사선에 의해 노출된다. 이러한 공정으로부터 생성된 물질 및 이러한 공정 조건의 사용이 IC 제조와 같은 다양한 용도에 사용하기에 보다 순응적인 막 특성을 제공할 것으로 예상된다.
실시예 2
몇몇 제조 설계에서, 보다 높은 기판 및 챔버 온도에서의 증착이 바람직하다. 본 실시예에서, 디메틸디에톡시실란이 800mg/분의 유량으로 액체 전구체로서 사용되었으며, 헬륨이 200sccm의 유량으로 운반 가스로서 사용되었고, 수소가 150sccm의 유량으로 반응 가스로서 첨가되었다. 증착 챔버는 400℃에서 유지되었고, 유전체 막이 실리콘 기판 상에 증착되었다. 보다 높은 작업 온도가 제어되어, 플라즈마에 첨가되는 보다 높은 열에너지로 인해, 전구체에 대한 수소 비가 매우 낮게 설정되었다. 표 4에 기재된 바와 같이, 1.8g/cc 초과의 밀도를 갖는, 유전 상수 4.0을 목표로 하는 막이 이러한 변형된 증착 조건으로 달성될 수 있었다. 이러한 막을 증착후 UV 안정성에 대해 시험하였으며, 노출되는 20분 동안 내내 연장된 경화 시간으로 인한 유전 상수의 변화가 미미하다는 것을 입증하는 것으로 나타났다.
표 4: 실시예 2에서 기술된 디메틸디에톡시실란 증착 조건에 대해 측정된 유전 막 특성
Figure pct00005
실시예 3
광전 변환 소자 또는 저온 디스플레이와 같은 몇몇 용도에서는, 유리 기판 상에 직접 증착된 장벽 막을 갖는 것이 요망된다. 유리와 같은 대안 기판 상의 증착을 위해 열소모 비용을 감소시키고, 장벽 막을 포함하는 공정에서의 모든 막에 대한 이후의 증착 온도를 감소시키는 것이 요구된다. 도 9에서, 등가의 k 및 밀도 값이 전구체로서 디메틸디에톡시실란 및 반응 가스로서 수소를 사용하여 증착된 막에 대해 측정되었다. 기판 온도가 감소됨에 따라 전구체에 대한 수소 비가, 열적 플라즈마 에너지에서의 감소를 보상하도록 증가되었다. 이러한 비를 조절함으로써 350℃ 내지 150℃의 기판 온도로 등가의 막 성질이 달성되었다. 막 내에서 메틸 또는 에틸기로부터의 선형 알킬기의 실리콘 카바이드로의 화학적 환원에 대한 조절은 막의 k 값 및 밀도와 직접적으로 상관성을 갖는다. 도 8에서, 제시된 증착 조건에 대해, 최저 증착 온도가 최고 Si-CH3 적분 피크 면적(흑색 막대, FTIR 분석에 기초함)이 되게 하였다. 표 5에 기재되고, 도 8에서 비교된 5개의 증착 조건을 비교하면, 최저 전구체:수소 비(#2 및 #5)가 최저 Si-CH3 피크 면적을 제공하고, 이후 보다 높은 밀도의 막이 되게 하는 것으로 관찰된다. 이러한 비는 증착 온도에 대해 조절되며, 이때 전구체:수소 비는 실리콘 옥시카바이드 막에 대해 동일한 표적 k 값 및 밀도를 달성하도록 증착 온도가 낮아짐에 따라 감소된다.
보다 낮은 온도를 사용하여 상기 비를 감소시키는 이러한 방법을 사용하여, 5개의 증착 온도에 대한 k 값 및 밀도 값이 도 9에 플롯팅되며, 이는 이러한 일련의 전구체가 비교적 낮은 온도에서도 고밀도 막을 증착할 수 있음을 입증한다.
표 5: 도 8에 도시된 온도 연구에 대한 증착 조건의 비교
Figure pct00006

Claims (30)

  1. 기판 상에 막을 형성시키는 방법으로서,
    화학식 RxR'y(OR")Z(OR"')aSi(여기서, R, R" 및 R"'은 각각 개별적으로 메틸, 에틸 또는 비닐로 이루어진 군으로부터 선택되고; R'는 수소, 메틸, 에틸 또는 비닐로 이루어진 군으로부터 선택되며, x, z 및 a는 각각 개별적으로 1 내지 3이고, y는 0 내지 2이고, x + y + z + a = 4이다)의 선형 알킬알콕시실란 전구체를 제공하고;
    상기 전구체의 화학 증기 증착 반응을 사용하여 기판 상에 막을 형성시키는 것을 포함하며;
    상기 반응에서 중 전구체 흐름의 체류 시간이 85msec 또는 그 미만이고, 막의 밀도가 1.5g/cc 초과이고, 유전 상수가 6.0 미만인 방법.
  2. 제 1항에 있어서, 접촉 단계 동안, 헬륨, 아르곤, 이산화탄소, 질소, 암모니아, 수소, 산소 및 이들의 혼합물로 이루어진 군으로부터 선택된 추가의 화학제가 제공되는 방법.
  3. 제 1항에 있어서, 막이 확산 장벽(diffusion barrier)인 방법.
  4. 제 1항에 있어서, 전구체가 디에톡시메틸실란; 디메틸에톡시실란; 디메틸디에톡시실란; 디에틸디에톡시실란; 디메틸디메톡시실란; 메틸디에톡시실란; 메틸에톡시실란; 메틸메톡시실란; 에틸에톡시실란; 에틸메톡시실란; 메틸트리에톡시실란; 에틸트리에톡시시란; 메틸트리메톡시실란; 메틸트리메톡시실란; 에틸트리메톡시실란; 비닐메틸디에톡시실란, 비닐메틸에톡시실란, 비닐메틸디메톡시실란, 비닐메틸메톡시실란 및 이들의 혼합물로 이루어진 군으로부터 선택되는 방법.
  5. 제 1항에 있어서, 기판의 온도가 100℃ 내지 500℃인 방법.
  6. 제 1항에 있어서, 기판의 온도가 150℃ 내지 400℃인 방법.
  7. 제 1항에 있어서, 기판의 온도가 250℃ 내지 350℃인 방법.
  8. 제 1항에 있어서, 화학 증기 증착 반응이 플라즈마, 펄스식 플라즈마, 헬리콘(helicon) 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 및 리모트(remote) 플라즈마로 이루어진 군으로부터 선택된 플라즈마 증강된 화학 증기 증착인 방법.
  9. 제 1항에 있어서, 막을 형성한 후, 기판이 UV 조사선으로 처리되는 방법.
  10. 제 1항에 있어서, 형성된 막의 밀도가 1.8g/cc 초과인 방법.
  11. 제 1항에 있어서, 형성된 막의 굴절 지수가 1.5 초과인 방법.
  12. 제 1항에 있어서, 체류 시간이 20 내지 65msec인 방법.
  13. 제 1항에 있어서, 유전 상수가 3.2 내지 6.0 범위인 방법.
  14. 제 1항에 있어서, 유전 상수가 3.2 내지 4.2 범위인 방법.
  15. 제 1항에 있어서, 장벽 유전체 막의 유전 상수에 대한 밀도의 비가 0.3 초과인 방법.
  16. 제 1항에 있어서, 장벽 유전체 막의 유전 상수에 대한 밀도의 비가 0.5 초과인 방법.
  17. 집적 회로 기판의 유전체 막과 구리 피쳐(copper feature) 사이에 장벽 유전체 막을 형성시키는 방법으로서,
    구리 피쳐를 형성시키기 전에 유전체 막을 갖는 집적 회로 기판을 제공하는 단계;
    상기 기판을, 디에톡시메틸실란, 디메틸디에톡시실란 및 이들의 혼합물로 이루어진 군으로부터 선택된 장벽 유전체 막 전구체, 및 수소, 암모니아, 및 이들의 혼합물로 이루어진 군으로부터 선택된 화학제와 접촉시키는 단계;
    플라즈마 증강된 화학 증기 증착 반응을 사용하여 기판 상에 장벽 유전체 막을 형성시키는 단계; 및
    장벽 유전체 막 상에 구리 피쳐를 형성시키는 단계를 포함하며,
    상기 반응에서 전구체 및 화학제 흐름의 체류시간이 85msec 또는 그 미만이고,
    형성된 장벽 유전체 막의 밀도가 1.5g/cc 초과인 방법.
  18. 제 17항에 있어서, 구리 피쳐가, 장벽 유전체 막이 증착된 후에 장벽 유전체 막 상에 증착되는 방법.
  19. 제 17항에 있어서, 장벽 유전체 막이 이후에 UV 조사선에 노출되는 방법.
  20. 제 17항에 있어서, 장벽 유전체 막이 실리콘옥시카바이드인 방법.
  21. 제 17항에 있어서, 장벽 유전체 막의 유전 상수가 6 미만인 방법.
  22. 제 21항에 있어서, 장벽 유전체 막의 유전 상수가 3.2 초과인 방법.
  23. 제 21항에 있어서, 장벽 유전체 막의 유전 상수가 4.2 미만인 방법.
  24. 제 17항에 있어서, 장벽 유전체 막의 유전 상수가 3.2 내지 4.2인 방법.
  25. 집적 회로 기판의 유전체 막과 구리 피쳐 사이에 장벽 유전체 막을 형성시키는 방법으로서,
    구리 피쳐를 지닌 유전체 막을 갖는 집적 회로 기판을 제공하는 단계;
    구리 피쳐를 갖는 유전체 막 상에 산화로부터 구리 피쳐를 보호할 수 있는 인터페이스층을 증착시키는 단계;
    상기 기판을, 디에톡시메틸실란, 디메틸디에톡시실란 및 이들의 혼합물로 이루어진 군으로부터 선택된 장벽 유전체 막 전구체, 및 수소, 암모니아, 및 이들의 혼합물로 이루어진 군으로부터 선택된 화학제와 접촉시키는 단계; 및
    장벽 유전체 막 전구체의 플라즈마 증강된 화학 증기 증착 반응을 사용하여 기판 상에 장벽 유전체 막을 형성시키는 단계를 포함하며,
    상기 반응에서 전구체의 체류시간이 85msec 또는 그 미만이고,
    형성된 장벽 유전체 막의 밀도가 1.5g/cc 초과인 방법.
  26. 제 25항에 있어서, 인터페이스층이 CoWP인 방법.
  27. 제 25항에 있어서, 형성된 막의 굴절 지수가 1.5초과인 방법.
  28. 제 25항에 있어서, 체류 시간이 20 내지 65msec인 방법.
  29. 제 25항에 있어서, 막의 유전 상수가 3.2 내지 6.0 범위인 방법.
  30. 제 29항에 있어서, 막의 유전 상수가 3.2 내지 4.2 범위인 방법.
KR1020117015317A 2008-12-01 2009-11-25 산소 함유 전구체를 사용한 유전체 장벽 증착 KR101406154B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11876908P 2008-12-01 2008-12-01
US61/118,769 2008-12-01
PCT/US2009/065867 WO2010065410A1 (en) 2008-12-01 2009-11-25 Dielectric barrier deposition using oxygen containing precursor

Publications (2)

Publication Number Publication Date
KR20110094205A true KR20110094205A (ko) 2011-08-22
KR101406154B1 KR101406154B1 (ko) 2014-06-12

Family

ID=41571526

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015317A KR101406154B1 (ko) 2008-12-01 2009-11-25 산소 함유 전구체를 사용한 유전체 장벽 증착

Country Status (7)

Country Link
US (1) US8637396B2 (ko)
EP (1) EP2376672B1 (ko)
JP (1) JP5567588B2 (ko)
KR (1) KR101406154B1 (ko)
CN (2) CN104593747B (ko)
TW (1) TWI439565B (ko)
WO (1) WO2010065410A1 (ko)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140097519A (ko) * 2011-12-20 2014-08-06 인텔 코오퍼레이션 등각 저온 밀봉 유전체 확산 장벽들
KR20190062135A (ko) * 2017-11-28 2019-06-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 로우 k 유전체 및 이를 형성하기 위한 프로세스
KR20190088069A (ko) * 2016-12-16 2019-07-25 램 리써치 코포레이션 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BR112013015633A2 (pt) * 2010-12-28 2016-10-11 Kirin Brewery produto de plástico moldado de barreira de gás e método para produzir um produto de plástico moldado de barreira de gás
JP5781350B2 (ja) * 2011-03-30 2015-09-24 リンテック株式会社 ガスバリア積層体、その製造方法、電子デバイス用部材及び電子デバイス
CN103871961B (zh) 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US9922818B2 (en) * 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
SG11202100058RA (en) 2018-08-29 2021-03-30 Applied Materials Inc Non-uv high hardness low k film deposition
JP7465287B2 (ja) 2019-06-08 2024-04-10 アプライド マテリアルズ インコーポレイテッド 自己形成バリア層を備えた低誘電率誘電体
US11594409B2 (en) * 2020-02-28 2023-02-28 Applied Materials, Inc. Systems and methods for depositing low-k dielectric films
CN117561349A (zh) * 2021-05-19 2024-02-13 弗萨姆材料美国有限责任公司 用于沉积具有高弹性模量的膜的新前体
WO2023096270A1 (ko) * 2021-11-26 2023-06-01 솔브레인 주식회사 고유전율 박막용 가리움제, 이를 이용한 선택영역증착 방법, 이로부터 제조된 반도체 기판 및 반도체 소자
WO2023249418A1 (ko) * 2022-06-21 2023-12-28 성균관대학교산학협력단 중합체 박막, 이를 이용한 확산 방지막 및 그 제조 방법

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3000782A1 (de) * 1980-01-10 1981-07-16 Wacker-Chemie GmbH, 8000 München Verfahren zur kontinuierlichen herstellung von sioc-gruppen aufweisenden silanen oder sioc-gruppen aufweisenden polysiloxanen
CA2048168A1 (en) * 1990-08-03 1992-02-04 John T. Felts Silicon oxide based thin film vapour barriers
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US20060258176A1 (en) 1998-02-05 2006-11-16 Asm Japan K.K. Method for forming insulation film
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JP2000174019A (ja) 1998-12-01 2000-06-23 Fujitsu Ltd 半導体装置及びその製造方法
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP3615979B2 (ja) 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP3814797B2 (ja) 2000-10-18 2006-08-30 日本エー・エス・エム株式会社 半導体基板上のシリコン重合体絶縁膜を形成する方法
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7147900B2 (en) 2003-08-14 2006-12-12 Asm Japan K.K. Method for forming silicon-containing insulation film having low dielectric constant treated with electron beam radiation
US7148154B2 (en) 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
JP2005294333A (ja) 2004-03-31 2005-10-20 Semiconductor Process Laboratory Co Ltd 成膜方法及び半導体装置
US7622400B1 (en) * 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7229934B2 (en) 2004-10-18 2007-06-12 International Business Machines Corporation Porous organosilicates with improved mechanical properties
US20060115980A1 (en) 2004-11-30 2006-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for decreasing a dielectric constant of a low-k film
US20060269690A1 (en) 2005-05-27 2006-11-30 Asm Japan K.K. Formation technology for nanoparticle films having low dielectric constant
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
DE102006051496B4 (de) 2006-10-31 2008-09-25 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einem porösen Materialschichtstapel mit kleinem ε mit reduzierter UV-Empfindlichkeit und Verfahren zu dessen Herstellung
US7521358B2 (en) 2006-12-26 2009-04-21 Lam Research Corporation Process integration scheme to lower overall dielectric constant in BEoL interconnect structures
US20080173985A1 (en) 2007-01-24 2008-07-24 International Business Machines Corporation Dielectric cap having material with optical band gap to substantially block uv radiation during curing treatment, and related methods
US7847402B2 (en) 2007-02-20 2010-12-07 International Business Machines Corporation BEOL interconnect structures with improved resistance to stress
JP2008263097A (ja) 2007-04-13 2008-10-30 Toshiba Corp 半導体装置及び半導体装置の製造方法

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190115123A (ko) * 2011-12-20 2019-10-10 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US11251076B2 (en) 2011-12-20 2022-02-15 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11670545B2 (en) 2011-12-20 2023-06-06 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US9935002B2 (en) 2011-12-20 2018-04-03 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US10529619B2 (en) 2011-12-20 2020-01-07 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11587827B2 (en) 2011-12-20 2023-02-21 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
KR20140097519A (ko) * 2011-12-20 2014-08-06 인텔 코오퍼레이션 등각 저온 밀봉 유전체 확산 장벽들
US10763161B2 (en) 2011-12-20 2020-09-01 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US9754821B2 (en) 2011-12-20 2017-09-05 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
KR20180120812A (ko) * 2011-12-20 2018-11-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US10438844B2 (en) 2011-12-20 2019-10-08 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US9330963B2 (en) 2011-12-20 2016-05-03 Intel Corporation Conformal low temperature hermetic dielectric diffusion barriers
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
KR20190088069A (ko) * 2016-12-16 2019-07-25 램 리써치 코포레이션 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR20220134787A (ko) * 2016-12-16 2022-10-05 램 리써치 코포레이션 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR20220085847A (ko) * 2016-12-16 2022-06-22 램 리써치 코포레이션 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
US11062901B2 (en) 2017-11-28 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
US10910216B2 (en) 2017-11-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric and processes for forming same
KR20190062135A (ko) * 2017-11-28 2019-06-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 로우 k 유전체 및 이를 형성하기 위한 프로세스
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
CN104593747B (zh) 2019-05-28
WO2010065410A1 (en) 2010-06-10
KR101406154B1 (ko) 2014-06-12
CN102232125B (zh) 2015-01-28
EP2376672A1 (en) 2011-10-19
US8637396B2 (en) 2014-01-28
JP2012510726A (ja) 2012-05-10
CN104593747A (zh) 2015-05-06
TW201022466A (en) 2010-06-16
TWI439565B (zh) 2014-06-01
US20100136789A1 (en) 2010-06-03
CN102232125A (zh) 2011-11-02
EP2376672B1 (en) 2019-06-05
JP5567588B2 (ja) 2014-08-06

Similar Documents

Publication Publication Date Title
KR101406154B1 (ko) 산소 함유 전구체를 사용한 유전체 장벽 증착
KR101144535B1 (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US7547643B2 (en) Techniques promoting adhesion of porous low K film to underlying barrier layer
US7422776B2 (en) Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4090740B2 (ja) 集積回路の作製方法および集積回路
KR101124781B1 (ko) 층간 부착 개선 방법
US20110206857A1 (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20030049460A1 (en) Low dielectric constant material and method of processing by CVD
US20050245096A1 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
TW200809971A (en) Methods to form SiCOH or SiCNH dielectrics and structures including the same
US7074489B2 (en) Low dielectric constant material and method of processing by CVD
US20020137323A1 (en) Metal ion diffusion barrier layers
TWI822044B (zh) 用於氣相沉積一介電膜的組合物及用於沉積一有機矽膜的方法
TW202217051A (zh) 烷氧基二矽氧烷及由其製造的密有機二氧化矽膜
CN115516129A (zh) 用于沉积具有高弹性模量的膜的新前体

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180427

Year of fee payment: 5