KR20100133910A - 플라즈마 처리장치용의 소모품의 재이용 방법 - Google Patents

플라즈마 처리장치용의 소모품의 재이용 방법 Download PDF

Info

Publication number
KR20100133910A
KR20100133910A KR1020100055307A KR20100055307A KR20100133910A KR 20100133910 A KR20100133910 A KR 20100133910A KR 1020100055307 A KR1020100055307 A KR 1020100055307A KR 20100055307 A KR20100055307 A KR 20100055307A KR 20100133910 A KR20100133910 A KR 20100133910A
Authority
KR
South Korea
Prior art keywords
silicon carbide
consumable
plasma processing
plasma
focus ring
Prior art date
Application number
KR1020100055307A
Other languages
English (en)
Other versions
KR101814201B1 (ko
Inventor
노부유키 나가야마
나오유키 사토
게이이치 나가쿠보
가즈야 나가세키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20100133910A publication Critical patent/KR20100133910A/ko
Application granted granted Critical
Publication of KR101814201B1 publication Critical patent/KR101814201B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02079Cleaning for reclaiming
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4418Methods for making free-standing articles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Abstract

본 발명은 낭비를 줄일 수 있는 플라즈마 처리장치용의 소모품의 재이용 방법을 제공한다. 본 발명에 따르면, 탄화규소가 CVD에 의해 적층되어 탄화규소 덩어리(41)가 생성되고, 탄화규소 덩어리(41)가 가공되어 포커스 링(25)이 제조되며, 제조된 포커스 링(25)이 플라즈마 처리장치(10)에 장착된 후, 플라즈마 에칭처리가 소정 회수로 반복되고, 플라즈마 에칭처리 중에 소모된 포커스 링(25')의 표면이 산세정되고, 세정된 포커스 링(25)의 표면에 CVD에 의해 탄화규소가 적층되어 탄화규소 덩어리(42)가 생성되고, 탄화규소 덩어리(42)가 가공되어 포커스 링(25")이 재제조되며, 재제조된 포커스 링(25")이 플라즈마 처리장치(10)에 장착된 후, 플라즈마 에칭처리가 소정 회수로 반복된다.

Description

플라즈마 처리장치용의 소모품의 재이용 방법{METHOD OF REUSING A CONSUMABLE PART FOR USE IN A PLASMA PROCESSING APPARATUS}
본 발명은 플라즈마 처리장치용의 소모품의 재이용 방법에 관한 것이다.
기판으로서의 웨이퍼에 소정의 플라즈마 처리를 실시하는 플라즈마 처리장치는 해당 웨이퍼를 수용하는 감압실로서의 챔버와, 해당 챔버 내에 처리가스를 도입하는 샤워헤드와, 챔버 내에 있어서 샤워헤드와 대향하여 배치되고, 웨이퍼를 탑재하는 동시에 챔버 내에 고주파 전력을 인가하는 서셉터를 구비한다. 챔버 내에 도입된 처리가스는 고주파 전력에 의해 여기되어 플라즈마로 된다.
서셉터는 탑재된 웨이퍼의 주연을 둘러싸는 링형상의 포커스 링을 갖는다. 포커스 링은 웨이퍼와 마찬가지로 규소(Si)로 이루어지고, 챔버 내에 있어서의 플라즈마의 분포 영역을 웨이퍼 위뿐만 아니라 해당 포커스 링 위까지 확대해서 웨이퍼의 주연부의 플라즈마의 밀도를 해당 웨이퍼의 중앙부 상의 플라즈마의 밀도와 같은 정도로 유지한다. 이에 따라, 웨이퍼의 전면에 실시되는 플라즈마 처리의 균일성을 확보할 수 있다 (예를 들면, 특허문헌 1 참조).
포커스 링은 플라즈마 처리 동안, 플라즈마의 양 이온에 의해 스퍼터되어 소모된다. 포커스 링이 소모되면, 포커스 링의 상면이 웨이퍼의 표면보다도 내려가기 때문에, 웨이퍼 상의 플라즈마의 분포 형태가 변화되고, 그 결과, 웨이퍼 전면에 실시되는 플라즈마 처리의 균일성을 확보하기가 어렵게 된다. 그래서, 포커스 링은 어느 정도 소모되면 교환되는데, 교환된 포커스 링은 그대로 폐기 처분되고 있다.
또한, 플라즈마 처리장치는 포커스 링 이외에도 규소로 이루어지는 소모품을 가지며, 이들 중 플라즈마 처리에 영향을 미치는 소모품은 포커스 링과 마찬가지로, 어느 정도 소모되면 교환되고, 교환된 소모품도 그대로 폐기 처분되고 있다.
[선행 기술문헌]
(특허문헌 1) 일본 특허공개 제2005-64460호 공보
그러나, 포커스 링 등의 규소로 이루어지는 소모품은, 규소의 덩어리(벌크 재)를 잘라서 제조하기 때문에, 제조하는데 상당한 시간이 걸린다. 따라서 소모품이 소모될 때마다 그 소모품을 폐기 처분하는 것을 줄일 수 있다면 경제적으로 도움이 될 것이다.
본 발명의 목적은 그런 낭비를 줄이기 위한 플라즈마 처리장치용의 소모품의 재이용 방법을 제공하는 것이다.
상기 목적을 달성하기 위해서, 본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법은, CVD에 의해 탄화규소를 적층하여 탄화규소 덩어리를 생성하는 탄화규소 덩어리 생성 스텝과, 상기 탄화규소 덩어리를 가공하여 소정 형상의 플라즈마 처리장치용 소모품을 제조하는 소모품 제조 스텝과, 상기 제조된 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 1 플라즈마 처리 스텝과, 소정 시간 동안 실시된 상기 플라즈마 처리에 소모된 상기 소모품의 표면을 세정하는 표면 세정 스텝과, 상기 세정된 소모품의 표면에 CVD에 의해 탄화규소를 적층하는 탄화규소 적층 스텝과, 상기 탄화규소가 표면에 적층된 소모품을 가공하여 상기 소정 형상의 소모품을 재제조하는 소모품 재제조 스텝과, 상기 재제조된 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 2 플라즈마 처리 스텝을 갖는다.
본 발명의 플라즈마 처리 장치용의 소모품의 재이용 방법에 있어서, 상기 탄화규소 덩어리 생성 스텝은, 핵의 표면에 CVD에 의해 탄화규소를 적층하여 탄화규소 덩어리를 생성하는 것이며, 상기 소모품 제조 스텝은, 상기 탄화규소 덩어리를, 상기 핵을 포함하지 않도록 가공하여 상기 소모품을 제조한다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 있어서, 상기 표면 세정 스텝과 상기 탄화규소 적층 스텝과 상기 소모품 재제조 스텝과 상기 제 2 플라즈마 처리 스텝을 순서대로 반복한다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 있어서, 상기 소모품 재제조 스텝 후, 또한 상기 제 2 플라즈마 처리 스텝 전에, 상기 재제조된 소모품을 고온 분위기 중에 두고, 해당 고온 분위기에 탄화규소의 원료가스를 공급하는 표면 처리 스텝을 더 갖는다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 있어서, 상기 표면 세정 스텝에서는 약액(Liquid Chemical)을 이용하여 상기 소모품의 표면을 세정한다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 있어서, 상기 표면 세정 스텝은 플라즈마를 이용하여 상기 소모품의 표면을 스퍼터한다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 있어서, 상기 소모품은 포커스 링 또는 관통하는 복수의 가스 구멍을 갖는 전극판이다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 소정 시간 동안 실시된 플라즈마 처리에서 소모된 소모품의 표면에 CVD에 의해 탄화규소가 적층되고, 탄화규소가 표면에 적층된 소모품이 가공되어 소정 형상으로 소모품이 재제조되므로, 탄화규소 덩어리를 가공해서 제조된 소모품이 소모해도, 해당 소모된 소모품을 폐기 처분하지 않고 재이용할 수 있다. 따라서 낭비를 줄일 수 있다. 또한, CVD에 의해 탄화규소가 적층되기 전에, 소모된 소모품의 표면이 세정되므로, 해당 표면으로부터 불순물을 제거할 수 있다. 따라서 CVD에 의한 탄화규소의 적층을 확실하게 실행할 수 있을 뿐만 아니라, 재제조된 소모품의 품질을 유지할 수 있다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 소모된 소모품의 표면의 세정, CVD에 의한 탄화규소의 적층, 소정 형상의 소모품의 재제조, 재제조된 소모품을 이용한 기판의 플라즈마 처리가 순서대로 반복되므로, 소모품을 장기간 재이용할 수 있다. 따라서 확실하게 낭비를 줄일 수 있다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 소정 형상의 소모품의 재제조 후, 재제조된 소모품을 이용하는 기판을 플라즈마 처리하기 전에, 재제조된 소모품을 고온 분위기에 넣고, 해당 고온 분위기에 탄화규소의 원료가스를 공급한다. 공급된 원료가스는 열에 의해 분해된 후, 탄화규소로 이루어진 소모품의 표면에서 응고하기 때문에, 재제조된 소모품의 표면은 탄화규소의 박막에 의해 코팅된다. 그 결과, 소모되고 남은 탄화규소와 CVD에 의해 적층된 탄화규소의 경계선을 눈에 뜨이지 않게 없앨 수 있다. 따라서 재제조된 소모품의 외관을 보기 좋게 할 수 있다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 약물을 이용하여 소모품의 표면이 세정되므로, 세정을 간편하게 실행할 수 있다. 따라서 소모품의 재제조를 용이하게 실행할 수 있다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 소모품의 표면을 세정할 때, 플라즈마를 이용하여 소모품의 표면을 스퍼터하므로, 소모품의 표면에 불순물이 고착되어 있거나 소모품의 표층 내에 불순물이 도핑되어 있어도, 해당 불순물을 물리적으로 제거할 수 있다. 따라서 재제조된 소모품의 품질을 확실하게 유지할 수 있다.
본 발명의 플라즈마 처리장치용의 소모품의 재이용 방법에 따르면, 포커스 링이나 관통하는 복수의 가스 구멍을 갖는 전극판이 재이용된다. 포커스 링이나 전극판은 약간만 소모되어도 교환을 해야 하기 때문에, 이들을 재이용함으로써 효과적으로 낭비를 줄일 수 있다.
도 1은 본 발명의 실시예에 따른 재이용 방법이 적용되는 소모품이 이용되는 플라즈마 처리장치의 구성을 개략적으로 나타내는 단면도이다.
도 2a와 2b는 도 1에 있어서의 포커스 링을 나타내는 확대도로서, 도 2a는 평면도이고, 도 2b는 도 2a에 있어서의 선 II-II을 따르는 단면도이다.
도 3a와 3b는 도 1에 있어서의 상부 전극판을 나타내는 확대도로서, 도 3a은 평면도이고, 도 3b는 도 3a에 있어서의 선 III-III을 따르는 단면도이다.
도 4a 내지 4f는 포커스 링의 재이용 방법을 나타내는 공정도이다.
도 5a 내지 5f는 상부 전극판의 재이용 방법을 나타내는 공정도이다.
도 6은 첫 번째 CVD-SiC층과 두 번째 CVD-SiC층의 경계 부분을 포함하는 직사각형 형상의 테스트 피스를 나타내는 모식도이다.
도 7은 소모량이 CVD에 의해 적층된 SiC층의 두께를 초과한 포커스 링을 나타내는 도이다.
이하, 본 발명의 실시예에 대해서 도면을 참조하면서 상세하게 설명한다.
도 1은 본 실시예에 따른 재이용 방법이 적용되는 소모품이 이용되는 플라즈마 처리장치의 구성을 개략적으로 나타내는 단면도이다. 본 플라즈마 처리장치에서는 기판으로서의 반도체 디바이스용의 웨이퍼(이하, 간단히 웨이퍼라 함)에 플라즈마 에칭처리를 실시한다.
도 1에 있어서, 플라즈마 처리장치(10)는, 예를 들면, 직경이 300 mm의 웨이퍼W를 수용하는 챔버(11)를 갖고, 해당 챔버(11) 내에는 웨이퍼W를 탑재하는 원주형상의 서셉터(12)가 배치되어 있다. 플라즈마 처리장치(10)에는, 챔버(11)의 내측벽과 서셉터(12)의 측면으로 이루어진 측방 배기로(13)가 형성된다. 이 측방 배기로(13)에는 배기 플레이트(14)가 배치된다.
배기 플레이트(14)는 복수의 관통공을 갖는 판형상부재이며, 챔버(11) 내부를 상부와 하부로 구분하는 칸막이판으로서 기능한다. 배기 플레이트(14)에 의해 구획된 챔버(11) 내부의 상부(이하, 처리실(15)이라 함)에는 후술하는 바와 같이 플라즈마가 발생한다. 또한, 챔버(11) 내부의 하부(이하, 배기실(16)이라 함)에는 챔버(11) 내의 가스를 배출하는 배기관(17)이 접속된다. 배기 플레이트(14)는 처리실(15)에 발생하는 플라즈마를 포착 또는 반사하여 배기실(16)로의 누설을 방지한다.
배기관(17)에는 TMP(Turbo Molecular Pump) 및 DP(Dry Pump) (모두 도시하지 않음)가 접속되고, 이들 펌프는 챔버(11) 내를 진공배기하여 감압한다. 구체적으로, DP은 챔버(11) 내를 대기압으로부터 중진공 상태(예를 들면, 1.3 × 10Pa (0.1 Torr) 이하)까지 감압하고, TMP는 DP과 협동해서 챔버(11) 내를 중진공 상태보다 낮은 압력인 고진공 상태(예를 들면, 1.3 × 10-3Pa (1.0 × 10-5 Torr) 이하)까지 감압한다. 또한, 챔버(11) 내의 압력은 APC (Automatic Pressure Control) 밸브 (도시하지 않음)에 의해 제어된다.
챔버(11) 내의 서셉터(12)에는 제 1 고주파 전원(18)이 제 1 정합기(19)를 사이에 두고 접속되고, 또한 제 2 고주파 전원(20)이 제 2 정합기(21)를 사이에 두고 접속된다. 제 1 고주파 전원(18)은 비교적 낮은 주파수, 예를 들면, 2 MHz의 이온 인입용의 고주파 전력을 서셉터(12)에 인가하고, 제 2 고주파 전원(20)은 비교적 높은 주파수, 예를 들면, 60 MHz의 플라즈마 생성용의 고주파 전력을 서셉터(12)에 인가한다. 서셉터(12)는 이렇게 전극으로서 기능한다. 여기서, 제 1 정합기(19) 및 제 2 정합기(21)는 서셉터(12)로부터의 고주파 전력의 반사를 줄임으로서 고주파 전력의 서셉터(12)로의 인가 효율을 높이는 역할을 한다.
서셉터(12)의 상부에는, 정전 전극판(22)을 내부에 갖는 정전척(23)이 배치되어 있다. 정전척(23)은 임의의 직경을 갖는 하부 원판형상부재 위에, 해당 하부 원판형상부재보다 직경이 작은 상부 원판형상부재를 포개어 형성되기 때문에, 주연부에서 단차를 갖는다. 한편, 정전척(23)은 세라믹스로 구성되어 있다.
정전 전극판(22)에는 직류 전원(24)이 접속되어 있고, 정전 전극판(22)에 플러스 직류 전압이 인가되면, 정전척(23)쪽의, 웨이퍼W의 면 (이하, 이면이라고 함)에는 마이너스 전위가 발생하여 정전 전극판(22)과 웨이퍼W의 이면 사이에 전위차가 발생한다. 이렇게 발생되는 전위차에 기인하는 쿨롱력 또는 존슨-라벡 (Johnson-Rahbeck)력에 의해, 웨이퍼W는 정전척(23)의 상부 원판형상부재에 흡착 보지된다.
또한, 흡착 보지된 웨이퍼W를 둘러싸면서, 포커스 링(25)은 정전척(23)의 단차의 수평부에 탑재된다. 포커스 링(25)은 탄화규소(SiC)로 이루어진다. 즉, 포커스 링(25)은 반도체로 이루어지므로, 플라즈마의 분포 영역을 웨이퍼W의 위뿐만 아니라 해당 포커스 링(25) 위까지 확대하여 웨이퍼W의 주연부 상의 플라즈마의 밀도를 해당 웨이퍼W의 중앙부 상의 플라즈마의 밀도와 같은 정도로 유지한다. 이에 따라, 웨이퍼W의 전면에 실시되는 플라즈마 에칭처리의 균일성을 확보한다.
서셉터(12)의 내부에는, 예를 들면, 원둘레 방향으로 연장되는 고리형상의 냉매실(26)이 마련된다. 이 냉매실(26)에는, 칠러 유닛(도시하지 않음)으로부터 냉매용 배관(27)을 통하여 낮은 온도의 냉매, 예를 들면, 냉각수나 갈덴(등록상표)이 순환 공급된다. 해당 낮은 온도의 냉매에 의해 냉각된 서셉터(12)는 정전척(23)을 포함하여 웨이퍼W 및 포커스 링(25)을 냉각한다. 한편, 포커스 링(25)의 이면에 열전도성을 향상시키는 시트를 마련해도 좋다. 이에 따라, 포커스 링(25)으로부터 서셉터(12)로의 열전도가 개선되고 그 결과, 포커스 링(25)을 효율적으로 냉각할 수 있다.
정전척(23)에 있어서의 상부 원판형상부재의 상면의 웨이퍼W가 흡착 보지되는 부분(이하, 흡착면이라고 함)에는, 복수의 전열가스 공급구멍(28)이 형성되어 있다. 이들 복수의 전열가스 공급구멍(28)은 전열가스 공급 라인(29)을 사이에 두고 전열가스 공급부(도시하지 않음)에 접속되며, 해당 전열가스 공급부는 전열가스로서의 He(헬륨) 가스를, 전열가스 공급구멍(28)을 통해 흡착면과 웨이퍼W 이면 사이의 간격에 공급한다. 흡착면과 웨이퍼W 이면 간의 간격에 공급된 헬륨가스는 웨이퍼W의 열을 정전척(23)에 효과적으로 전달한다.
챔버(11)의 천장부에는, 서셉터(12)와 대향하도록 샤워헤드(30)가 배치된다. 샤워헤드(30)는 상부 전극판(31)과, 해당 상부 전극판(31)을 착탈 가능하게 매달아 지지하는 쿨링 플레이트(32)와, 해당 쿨링 플레이트(32)를 덮는 덮개(33)를 갖는다. 상부 전극판(31)은 두께 방향으로 관통하는 복수의 가스 구멍(34)을 갖는 원판형상부재로 이루어지고, 반도체인 탄화규소로 이루어진다. 또한, 쿨링 플레이트(32)의 내부에는 버퍼실(35)이 마련되고, 이 버퍼실(35)에는 처리가스 도입관(36)이 접속되어 있다.
또한, 샤워헤드(30)의 상부 전극판(31)에는 직류 전원(37)이 접속되어, 상부 전극판(31)에 마이너스 직류 전압이 인가된다. 이때, 상부 전극판(31)은 2차전자를 방출하여 처리실(15) 내부의 웨이퍼W 상에서 전자밀도가 저하하는 것을 방지한다. 방출된 2차전자는 웨이퍼W 상으로부터 측방 배기로(13)에서 서셉터(12)의 측면을 둘러싸도록 마련된 반도체인 탄화규소나 규소로 이루어지는 접지 전극(그라운드 링(38))으로 흐른다.
플라즈마 처리장치(10)에서는, 처리가스 도입관(36)으로부터 버퍼실(35)에 공급된 처리가스가 가스구멍(34)을 통해 처리실(15) 내부로 도입되고, 해당 도입된 처리가스는 제 2 고주파 전원(20)으로부터 서셉터(12)를 통해 처리실(15) 내부로 인가되는 플라즈마 생성용의 고주파 전력에 의해 여기되어 플라즈마로 된다. 제 1 고주파 전원(18)으로부터 서셉터(12)로 인가되는 이온 인입용의 고주파 전력에 의해 해당 플라즈마의 이온을 웨이퍼W를 향해서 끌어당김으로서, 해당 웨이퍼W에 플라즈마 에칭처리를 실시한다.
상술한 플라즈마 처리장치(10)의 각 구성부품의 동작은 플라즈마 처리장치(10) 내에 구비되는 제어부(도시하지 않음)의 CPU에 의해 실행되는 플라즈마 에칭처리에 대응하는 프로그램에 따라 제어된다.
도 2a와 2b는 도 1에 있어서의 포커스 링을 나타내는 확대도로서, 도 2a는 평면도이고, 도 2b는 도 2a에 있어서의 선 II-II을 따르는 단면도이다.
도 2a와 2b에 있어서, 포커스 링(25)은 내주부에 단차(25a)를 갖는 링형상부재로 구성되고, 상술한 바와 같이 탄화규소의 단체(Single substance)로 이루어진다. 단차(25a)는 웨이퍼W의 외주부에 대응해서 형성되고, 웨이퍼W가 흡착면에 흡착 보지될 때, 단차(25a)의 수평부(25b)는 웨이퍼W의 외주부에 의해 덮여지지만(도 1 참조), 동일 단차(25a)의 구석부(25c)는 웨이퍼W에 의해 덮어지지 않는다.
플라즈마 에칭처리에 있어서, 포커스 링(25)의 구석부(25c)와 상면(25d)은 플라즈마에 노출되어 플라즈마 중의 양 이온에 의해 스퍼터된다.
도 3a와 3b는 도 1에 있어서의 상부 전극판을 나타내는 확대도로서, 도 3a는 평면도이고, 도 3b는 도 3a에 있어서의 선 III-III을 따르는 단면도이다.
도 3a와 3b에 있어서, 상부 전극판(31)은 두께가 약 10 mm의 원판형상부재로 구성된다. 상부 전극판(31)에는, 해당 상부 전극판(31)을 두께 방향으로 관통하는 복수의 가스 구멍(34)이 동일 피치로 배치되어 있다. 각 가스 구멍(34)의 직경은, 예를 들어, 0.5 mm이며, 절삭 드릴 등에 의해 형성된다.
상부 전극판(31)이 샤워헤드(30)의 일부로서 플라즈마 처리장치(10)에 장착될 때, 상부 전극판(31)의 측면(31a)은 탄화규소, 석영 또는 규소 등으로 이루어지는 링형상부재인 아우터 링(39)에 의해 덮어지지만 (도 1 참조), 하면(31b)은 처리실(15) 내의 공간에 노출된다. 즉, 플라즈마 에칭처리에 있어서, 하면(31b)은 플라즈마에 노출되어 플라즈마 중의 양 이온에 의해 스퍼터된다.
상술한 바와 같이, 포커스 링(25)이나 상부 전극판(31)은 양 이온에 의해 스퍼터되기 때문에 점차 소모된다. 따라서 본 실시예에서는 포커스 링(25)이나 상부 전극판(31)을 규소가 아니라 탄화규소로 제조한다. CVD에 의해 탄화규소를 적층할 수 있기 때문에, 후술하는 바와 같이, 소모된 포커스 링(25)이나 상부 전극판(31)에, CVD에 의해 탄화규소를 적층함으로서 본래의 형상으로 복원(재제조)하여 소모된 포커스 링(25)이나 상부 전극판(31)을 재이용할 수 있다.
이하, 본 실시예에 관한 재이용 방법에 대해서 설명한다.
도4a 내지 4f는 포커스 링(25)의 재이용 방법을 나타내는 공정도이다.
우선, 링 형상의 흑연부재(40)를 핵으로 하여, 해당 흑연부재(40)주위에 CVD에 의해 탄화규소를 적층하고, 링 형상의 탄화규소 덩어리(41)를 생성한다(도 4a, 탄화규소 덩어리 생성 스텝). 도 4a는 링 형상의 탄화규소 덩어리(41)의 종단면을 나타낸다. CVD에 의해서는 탄화규소가 흑연부재(40)에 대하여 등방으로 적층된다. 따라서, 탄화규소 덩어리(41)에 있어서 포커스 링(25)을, 흑연부재(40)를 포함하지 않도록 잘라내기 위해서, 탄화규소 덩어리(41)에 있어서의 흑연부재(40)로부터 해당 탄화규소 덩어리(41)의 표면까지의 두께가 포커스 링(25)의 두께보다도 커질 때까지 탄화규소를 계속해서 적층한다.
다음에, 탄화규소 덩어리(41)로부터 흑연부재(40)을 포함하지 않도록 포커스 링(25)을 잘라내서 제조하여(도 4b, 소모품 제조 스텝), 플라즈마 처리장치(10) 내의 서셉터(12)에 장착한다. 그 후, 플라즈마 처리장치(10)에 있어서 웨이퍼W의 플라즈마 에칭처리가 소정 회수로 반복되면(제 1 플라즈마 처리 스텝), 포커스 링(25)은 소모된다. 상술한 바와 같이, 포커스 링(25)의 상면(25d) 및 구석부(25c)는 웨이퍼W에 의해 덮어지지 않기 때문에, 주로 상면(25d) 및 구석부(25c)가 소모된다(도 4c).
다음에, 소모된 포커스 링(25') 이하, 소모 포커스 링(25' 이라 함)을 플라즈마 처리장치(10)로부터 꺼내서, 해당 소모 포커스 링(25')의 표면을 세정한다(표면 세정 스텝). 표면 세정 스텝은, 예를 들어, 알칼리 세정 스텝, 산세정 스텝, 순수 초음파 세정 스텝으로 이루어진다. 즉, 소모 포커스 링(25')은 우선, 가성 소다 또는 NaOH용액을 이용한 알칼리 세정에 의해, 그 표면에 부착된 산세정에 의해서는 제거될 수 없으며, 예를 들어, 유분 등의 불순물이 세정 제거된다. 다음에, 알칼리 세정 후의 소모 포커스 링(25')에 대하여, 불산(HF)이나 황산(H2SO4)을 이용한 산세정이 실시되어, 이에 따라 알칼리 세정에서는 제거할 수 없고, 예를 들어, 실리카나 금속 등의 불순물이 세정되어 제거된다. 그 후, 산세정 후의 소모 포커스 링(25')은 순수(pure water)가 채워진 수조에 반입되어, 초음파를 이용한 순수 세정이 실시된다.
또한, 표면 세정 스텝에 있어서는 알칼리 세정 스텝의 전 가공으로서 초음파를 병용하거나 또는 병용하지 않는 순수 세정 스텝을 실행해도 좋고, 또는 알칼리 세정 스텝, 산세정 스텝, 순수 세정 스텝 및 순수 초음파 세정 스텝 중 어느 한 항을 실행하거나, 또는 두개 이상의 스텝을 임의로 조합할 수도 있다. 이때, 세정 스텝에 있어서의 약액을 씻어낼 필요가 있는 경우는, 최종 세정 스텝으로서 순수 초음파 세정 스텝을 채용하는 것이 바람직하다.
더욱이 또한, 소모 포커스 링(25’)의 오염이 심할 경우, 세정 효과의 향상 또는 세정 시간의 단축을 도모하기 위해서, CO2 블라스트, SiC 블라스트 등의 블라스트, 플라즈마에 의한 스퍼터, 또는 기계 연마를 조합할 수도 있다. 이런 경우, 상기의 알칼리 세정 스텝이나, 산세정 스텝, 순수 세정 스텝, 또는 순수 초음파 세정 스텝 전에, 실행하는 것이 바람직하다.
또한, 포커스 링(25)의 이면에 상술한 바와 같은 열전도성을 향상시키는 시트를 마련한 경우에는 이 표면 세정 스텝에서 해당 시트를 제거할 필요가 있다. 따라서, 예를 들어, 알칼리 세정, 산세정, 순수 초음파 세정 등과는 별도로 시트를 제거하기 위해서, 소모 포커스 링(25')을, 예를 들어, 300~400℃로 가열하여 시트를 소실시키는 열처리, CO2 블라스트, SiC 블라스트 등의 블라스트, 플라즈마에 의한 스퍼터 등을 병용할 수도 있다. 또한, 소모 포커스 링(25')에 대한 알칼리 세정 스텝, 산세정 스텝 등을 실행할 때에 해당 시트를 동시에 제거할 수도 있다.
그 후, 표면 세정 스텝이 종료된 소모 포커스 링(25')의 표면에 CVD에 의해 탄화규소를 적층하여 새로운 탄화규소 덩어리(42)를 생성한다(도 4d). 이때, 탄화규소 덩어리(42)가 포커스 링(25)보다도 커질 때까지 탄화규소의 적층은 계속된다(탄화규소 적층 스텝).
다음에, 탄화규소 덩어리(42)를 가공해서 포커스 링(25")을 재제조하고(도 4e, 소모품 재제조 스텝), 그 후, 필요에 따라, 재제조된 포커스 링(25" 이하, 재제조 포커스 링(25")이라고 함)을 어닐링 노(Annealing Furnace) 내의 고온 분위기에 두고, 어닐링 노내에 탄화규소의 원료가스, 예를 들면, 시란계 가스 및 탄소계 가스의 혼합가스를 공급한다. 이때, 원료가스는 열에 의해 분해되어 재제조 포커스 링(25")의 표면에 부착되어 응고됨으로서, 두께가 수 미크론의 탄화규소 박막을 형성한다(도 4f), 표면 처리 스텝). 해당 탄화규소 박막은 재제조 포커스 링(25")의 표면에 드러나는 소모 포커스 링(25')과 소모 포커스 링(25')의 표면에 적층된 탄화규소부의 경계선(25e)을 가린다. 이에 따라, 경계선(25e)을 눈에 뜨이지 않게 없앨 수 있고, 따라서 재제조 포커스 링(25")의 외관을 좋게 할 수 있다. 또한, 표면 처리 스텝은 생략해도 무방하다.
다음에, 탄화규소 박막이 표면에 형성된 재제조 포커스 링(25")을 플라즈마 처리장치(10) 내의 서셉터(12)에 장착한다. 그 후, 플라즈마 처리장치(10)에 있어서 웨이퍼W의 플라즈마 에칭처리를 소정 회수로 반복한다(제 2 플라즈마 처리 스텝).
다음에, 소모 포커스 링(25')의 표면 세정 스텝과, CVD에 의한 새로운 탄화규소 덩어리(42)의 생성(도 4d)과, 가공에 의한 포커스 링(25")의 재제조(도 4e)와, 재제조 포커스 링(25")의 표면에 있어서의 탄화규소 박막의 형성(도 4f)과, 재제조 포커스 링(25")을 장착한 후의 플라즈마 에칭처리를 순서대로 반복한다.
도 5a 내지 5f는 상부 전극판(31)의 재이용 방법을 나타내는 공정도이다.
우선, 도 4a 내지 4f의 처리와 같이, 원판형상의 흑연부재(43) 주위에 CVD에 의해 탄화규소를 적층하여 탄화규소 덩어리(44)를 생성한다(도 5a, 탄화규소 덩어리 생성 스텝). 탄화규소 덩어리(44)도, 상부 전극판(31)을, 흑연부재(43)를 포함하지 않도록 잘라내기 위해서, 탄화규소 덩어리(44)에 있어서의 흑연부재(43)로부터 해당 탄화규소 덩어리(44)의 표면까지의 두께가 상부 전극판(31)의 두께보다도 커질 때까지 탄화규소를 계속해서 적층한다.
다음에, 탄화규소 덩어리(44)로부터 소정 크기의 원판 형상 부재를 잘라내고, 해당 잘라내진 원판 형상 부재에 복수의 가스 구멍(34)을 가공에 의해 형성하여 상부 전극판(31)을 제조하여(도 5b, 소모품 제조 스텝), 플라즈마 처리장치(10)에 샤워헤드(30)의 일부로서 장착한다. 그 후, 플라즈마 처리장치(10)에 있어서 웨이퍼W의 플라즈마 에칭처리가 소정 회수로 반복되면(제 1 플라즈마 처리 스텝), 상부 전극판(31)은 소모된다. 상술한 바와 같이, 상부 전극판(31)의 하면(31b)은 아우터 링(39)에 의해 덮어지지 않기 때문에, 주로 하면(31b)이 소모된다(도 5c). 또한, 상부 전극판(31)의 상면(31d)은 쿨링 플레이트(32)와 접하기 때문에, 플라즈마 에칭처리 중에 소모되지 않는다.
다음에, 소모된 상부 전극판(이하, 소모 상부 전극판(31')이라 함)을 플라즈마 처리장치(10)로부터 꺼내서, 해당 소모 상부 전극판(31')의 표면을 도 4a 내지 4f에 있어서의 처리와 같이, 예를 들어, 알칼리, 산, 순수 등으로 세정하고(표면 세정 스텝), 그 후, 2개의 소모 상부 전극판(31')을, 각각의 상면(31d)끼리가 접하도록 밀착시키고, 또한, 밀착된 2개의 소모 상부 전극판(31')의 표면에 CVD에 의해 탄화규소를 적층해서 새로운 탄화규소 덩어리(45)를 생성한다(도 5d). 이때, 탄화규소 덩어리(45)가 상면(31d)끼리가 접하도록 밀착된 2개의 상부 전극판(31)보다도 커질 때까지 탄화규소를 계속 적층한다 (탄화규소 적층 스텝).
다음에, 탄화규소 덩어리(45)를 가공해서 2개의 상부 전극판(31")을 재제조하고(도 5e, 소모품 재제조 스텝), 그 후, 재제조된 상부 전극판(31" 이하, 재제조 상부 전극판(31")이라 함)의 표면에, 필요에 따라, 고온 분위기에 두고 탄화규소의 원료가스를 이용하여 두께가 수 미크론의 탄화규소 박막을 형성한다(도 5f, 표면 처리 스텝). 해당 탄화규소 박막은 재제조 상부 전극판(31")의 표면에 드러나는 소모 상부 전극판(31')과, 소모 상부 전극판(31')의 표면에 적층된 탄화규소부의 경계선(31c)을 가린다. 이에 따라, 경계선(31c)을 눈에 띄지 않게 없앨 수 있고, 따라서 재제조 상부 전극판(31")의 외관을 좋게 할 수 있다. 또한, 표면 처리 스텝은 생략해도 무방하다.
다음에, 탄화규소 박막이 표면에 형성된 재제조 상부 전극판(31")을 플라즈마 처리장치(10)에 샤워헤드(30)의 일부로서 장착한다. 그 후, 플라즈마 처리장치(10)에 있어서 웨이퍼W의 플라즈마 에칭처리가 소정 회수로 반복한다(제 2 플라즈마 처리 스텝).
다음에, 소모 상부 전극판(31')의 표면의 표면 세정 스텝과, CVD에 의한 새로운 탄화규소 덩어리(45)의 생성(도 5d)과, 가공에 의한 상부 전극판(31")의 재제조(도 5e)와, 재제조 상부 전극판(31")의 표면에 있어서의 탄화규소 박막의 형성(도 5f)과, 재제조 상부 전극판(31")을 장착한 후의 플라즈마 에칭처리를 순서대로 반복한다.
도 4a 내지 4f의 포커스 링(25)의 재이용 방법 및 도 5a 내지 5f의 상부 전극판(31)의 재이용 방법에 의하면, 소정 회수 반복되는 플라즈마 에칭처리에 의해 소모된 포커스 링(25)이나 소모된 상부 전극판(31)의 표면에 CVD에 의해 탄화규소가 적층되어 탄화규소 덩어리(42, 45)가 생성되고, 탄화규소 덩어리(42, 45)가 가공되어 포커스 링(25")이나 상부 전극판(31")이 재제조되므로, 포커스 링(25)이나 상부 전극판(31)이 소모해도, 이들을 폐기 처분하지 않고 재이용할 수 있다. 따라서, 낭비를 줄일 수 있다.
상술한 재이용 방법에 의하면, 소모 포커스 링(25') 또는 소모 상부 전극판(31')의 표면 세정, CVD에 의한 새로운 탄화규소 덩어리(42, 45)의 생성, 가공에 의한 포커스 링(25") 또는 상부 전극판(31")의 재제조, 필요에 따라, 실시되는, 재제조 포커스 링(25") 또는 재제조 상부 전극판(31")의 표면에 있어서의 탄화규소 박막의 형성, 재제조 포커스 링(25") 또는 재제조 상부 전극판(31")을 장착한 후의 플라즈마 에칭처리가 순서대로 반복되므로, 포커스 링(25)이나 상부 전극판(31)을 장기간 재이용할 수 있다. 따라서, 확실하게 낭비를 줄일 수 있다.
또한, 상술한 재이용 방법에 의하면, CVD에 의해 탄화규소가 적층되기 전에, 소모 포커스 링(25')이나 소모 상부 전극판(31')의 표면이 세정된다. 플라즈마 에칭처리 중에 불소 이온이나 산소 이온에 기인하여 발생하여 포커스 링(25) 등의 표면에 부착되는 불순물의 두께는 겨우 1 um 정도이기 때문에, 상기 세정에 의해 충분히 불순물을 표면으로부터 제거할 수 있다. 따라서 그 후의 CVD에 의한 탄화규소의 적층을 확실하게 실행할 수 있고, 재제조 포커스 링(25")이나 재제조 상부 전극판(31")의 품질을 유지할 수 있다. 또한, 알칼리 세정, 산세정 등은 간편하게 실행할 수 있으므로, 포커스 링(25")이나 상부 전극판(31")의 재제조를 용이하게 실행할 수 있다.
상술한 재이용 방법에 의하면, 포커스 링(25)이나 상부 전극판(31)이 재이용된다. 포커스 링(25)이나 상부 전극판(31)은 약간만 소모해도 교환이 필요하기 때문에(예를 들면, 상부 전극판(31)은 두께 방향으로 1~2 mm 정도 소모하면 교환이 필요함), 이들을 재이용함으로써 효과적으로 낭비를 줄일 수 있다.
상술한 재이용 방법에서는, 소모 포커스 링(25’)이나 소모 상부 전극판(31’)의 표면이 알칼리 세정, 산세정 등 되었지만, 플라즈마 에칭처리에 있어서 배선층 등을 형성하는 동(Cu)이 에칭되어 동 이온이 비산하고, 포커스 링(25)이나 상부 전극판(31)의 표면에 동 또는 동화합물이 고착하는 경우, 알칼리 세정, 산세정 등의 전에, 플라즈마를 이용하여 소모 포커스 링(25’)이나 소모 상부 전극판(31’)의 표면을 스퍼터한다. 또는 처리가스로서 불소 함유 가스나 산소 함유 가스가 이용된 결과, 포커스 링(25) 등의 표층 내에 불소 이온이나 산소 이온이 주입되어 불순물이 도핑되는 경우에는 알칼리 세정, 산세정 등의 전에, CO2 블라스트, SiC 블라스트 등의 블라스트나 기계 연마에 의해 소모 포커스 링(25’)이나 소모 상부 전극판(31”)의 표면을 절삭한다. 이에 따라, 표면에 고착한 동 또는 동화합물이나 불순물이 도핑된 표층을 물리적으로 깎아낼 수 있고, 따라서 재제조 포커스 링(25”)이나 재제조 상부 전극판(31”)의 품질을 확실하게 유지할 수 있다.
또한, 고저항 부재라면, 약간의 불순물의 함유는 허용되므로, 포커스 링(25)이나 상부 전극판(31)이 고저항이면, 표층에 불순물이 도핑되어 있어도 플라즈마를 이용하여 표면을 스퍼터할 필요는 없고, 표면을 알칼리 세정, 산세정 등하면 된다.
상술한 재이용 방법에서는, 포커스 링(25)이나 상부 전극판(31)이 재이용되었지만, 상술한 재이용 방법은 CVD에 의한 적층에 의해 생성된 탄화규소 덩어리로부터 잘라내진 소모품이면 어떤 부품에라도 적용할 수 있다. 예를 들면, 탄화규소로 이루어지는 접지 전극(38)이나 탄화규소로 이루어지는 아우터 링(39)도 상술한 재이용 방법에 의해 재이용할 수 있다.
또한, 상술한 재이용 방법에서는, CVD에 의해 탄화규소를 적층하여 생성된 탄화규소 덩어리로부터 포커스 링(25) 등을 잘라냈지만, 예를 들면, 탄화규소의 소결재나 흑연(카본)를 핵으로 해서 CVD에 의해 탄화규소를 적층하여 탄화규소 덩어리를 생성하고, 해당 탄화규소 덩어리로부터 소결재나 흑연을 포함한 상태에서 포커스 링을 잘라낼 수 있다. 그러나 소결재는 CVD에 의한 적층에 의해 형성된 부재보다도 입자가 굵어서 양이온에 의해 스퍼터되면 쉽게 파티클이 비산되기 때문에, 플라즈마 에칭처리 중에 포커스 링 등이 소모되어 탄화규소의 소결재가 노출한 경우, 파티클이 발생할 우려가 있다.
도 7은 상기 우려점을 도시하는 도면이고, 소모량이 CVD에 의해 적층된 SiC층의 두께를 초과한 포커스 링의 단면을 나타내는 도이다.
도7에 있어서, 포커스 링(70)은 플라즈마 에칭 처리시에 웨이퍼에 의해 덮여지지 않는 상면(70a) 및 단차 구석부(70c)가 소모되고, 그 부분에 있어서의 CVD에 의해 적층된 SiC층(72)가 소모되어, 이로써 핵인, 예를 들어 소결SiC(71)가 노출하고 있다. 이와 같이 소결SiC(71)가 노출하면, 파티클이 비산하여 챔버 내가 오염된다. 또한 CVD에 의해 적층된 SiC층(72)의 두께는 예를 들어100㎛정도이며, 소모품의 교환 인터벌이 짧다는 문제도 있다.
한편, 핵인 소결SiC(71)의 노출에 의한 파티클의 발생을 회피하면서 효율적으로 플라즈마 에칭 처리를 실시하기 위해서는, CVD에 의해 적층된 SiC층(72)이 완전히 소모되기 직전에 플라즈마 에칭 처리를 정지해서 소모품을 교환할 필요가 있기 때문에, 소모품의 교환 시기를 정확히 관리한다고 하는 번잡한 조작이 필요해진다.
따라서, 탄화규소의 소결재나 흑연을 핵으로 해서 생성된 탄화규소 덩어리로부터 포커스 링을 잘라낼 경우, 해당 포커스 링을, 탄화규소의 소결재를 포함하는 일없이 잘라낼 필요가 있다. 즉, 탄화규소의 소결재나 흑연을 포함하는 포커스 링은 플라즈마 에칭처리에 적합하지 않기 때문에, 포커스 링을 CVD에 의한 탄화규소의 적층부 만으로부터 잘라낼 필요가 있다.
상술한 재이용 방법에 의하면, 탄화규소 덩어리 생성 스텝은, 핵 표면에 CVD에 의해 탄화규소를 적층해서 탄화규소 덩어리를 생성하는 것이며, 소모품 제조 스텝은 탄화규소 생성 스텝에 의해 생성된 탄화규소 덩어리를, 핵을 포함하지 않도록 가공해서 소모품을 제조하도록 했으므로, 소모에 의해 핵인 흑연 부재(40)가 노출하지 않는다. 따라서, CVD-SiC층을 다층 적층한 소모품(재제조 포커스 링(25"))이어도, 파티클의 발생 및 이에 따른 챔버 내 오염을 회피할 수 있다.
또한, 상술한 재이용 방법에 의하면, 소모품이 핵을 포함하고 있지 않으므로, 표면의 허용 소모량이 예를 들어 5 ㎜정도로 되어, 최대로도 100 ㎛정도였던 종래 부품과 비교하여 허용 소모량이 많아진다. 따라서, 소모품의 교환 빈도를 줄일 수 있다. 또한, 핵인 흑연부재(40)가 노출하기 직전에 플라즈마 에칭 처리를 정지시키는 번잡한 제어가 불필요해지기 때문에 처리 효율의 향상을 도모할 수 있다. 또한, 핵을 포함하지 않으므로, 핵을 포함하는 종래의 소모품과 비교하여 재제조시 형상의 제약이 없고, 재제조 소모품의 형상을 소모 전 소모품의 형상과 비교해서, 그 직경을 축소시키거나, 부분적으로 경사 각도를 변경시키거나, 모서리 깎음 부분을 변경하는 등의 변경이 가능하며, 형상에 대한 플렉시빌리티가 향상한다. 예를 들어, 두께 4 ㎜의 포커스 링을 재제조 처리해서, 두께 3 ㎜의 재제조 포커스 링을 제조하거나, 직경 380 ㎜의 포커스 링을 재제조 처리해서 직경 360 ㎜의 재제조 포커스 링을 제조하거나 하는 것도 가능해진다.
또한 종래에서 핵인 흑연부재(40)를 재이용하는 경우에는 핵 표면에 잔존하는 SiC를 제거해야만 했었지만, 상술한 재이용 방법에 있어서는, 그와 같은 조작이 불필요해진다고 하는 이점도 있다.
상술한 실시예에 있어서 플라즈마 에칭처리가 실시되는 기판은 반도체 디바이스용의 웨이퍼에 한정되지 않고, LCD(Liquid Crystal Display) 등을 포함하는 FPD(Flat Panel Display)등에 이용하는 각종 기판이나, 포토 마스크, CD 기판, 프린트 기판 등이어도 좋다.
상술한 재이용 방법에 있어서는, 플라즈마 처리 스텝에 의한 소모품의 소모, 탄화규소 적층 스텝에 의한 SiC의 적층, 및 소모품 재제조 스텝에 의한 소모품의 재제조를 반복하므로, 재제조 포커스 링(25") 및 재제조 상부 전극판(31")은, CVD에 의해 적층된 SiC층(이하,「CVD-SiC층」이라 한다.)이 순차 적층된 다층 구조로 된다.
따라서, CVD-SiC층이 적층된 다층 구조를 갖는 소모품은 챔버 내의 구성 부재로서 정확히 기능한다는 것을 확인하였다.
즉, 핵으로서의 소결SiC의 표면에 CVD-SiC층을 다층으로 형성한 벌크 샘플에 있어서의 첫번째 CVD-SiC층과 두번째 CVD-SiC층과의 경계 부분을 포함하는 구형의 테스트 피스(도6)를 잘라내고, 해당 테스트 피스에 있어서, 도1의 플라즈마 처리 장치를 이용하여 소정 조건에서 플라즈마를 조사하는 플라즈마 조사 테스트를 실행한 후, 단차계를 이용해서 첫번째 CVD-SiC층과 두번째 CVD-SiC층과의 사이의 단차 유무를 조사하였다.
또한, 이 때의 플라즈마 조사 조건은, 챔버 내 압력을 20 mTorr(2.66Pa)로 하고, 플라즈마 생성용의 여기 전력을 500 W, 바이어스 전력을 3000 W로 하고, 처리가스로서 140 sccm의 C4F8가스, 40 sccm의 CO가스, 600 sccm의 Ar가스의 혼합 가스를 이용해서 플라즈마를 생성시키고, 플라즈마 조사 시간을 60 sec로 하였다. 또한 이때, 서셉터(12)에 있어서의 복수의 전열가스 공급구멍(28)을 통과하여 흐르는 전열 가스로서의 He가스의 압력을, 센터 부분에서 30 Torr(3.99kPa), 에지 부분에서 10 Torr(1.33kPa)로 하였다.
플라즈마 조사 테스트 후, 첫번째층과 두번째층의 경계부에 단차는 없었다. 이로써, 적층 1층째와 적층 2층째의 소모레이트가 같은 것을 확인하였다.
또한, 플라즈마 조사 테스트 후의 첫번째 CVD-SiC층과 두번째 CVD-SiC층에 대해서, 각각 SEM 사진을 촬영하여 그 표면의 조직을 관찰한 바, 양쪽에 차이는 없으며, 표면 상태가 동일한 것을 확인하였다. 즉, 첫번째 CVD-SiC층과 두번째 CVD-SiC층과의 플라즈마에 대한 소모 특성에 차가 없는 것을 알게 되었다.
다음에, 도4a 내지 4f의 포커스 링(25)의 재이용 방법으로 재제조 포커스 링(25")을 재제조 하고, 해당 재제조 포커스 링(25")에 있어서의 재생률을 구하였다. 여기에서 재생률이란, 재제조 포커스 링(25")에 있어서의 전체 부피에 대한 재제조시에 적층된 CVD-SiC층의 부피를 백분율로 표시한 것이다.
즉, 소모 전의 포커스 링(25)(도4b)의 부피는, 예를 들어, 147857㎣이며, 소모 포커스 링(25')(도4c)의 부피는, 예를 들어, 102087㎣였다. 그리고 소모품 재제조 스텝에 의해 소모 전의 포커스 링(25)과 같은 부피가 되도록 재제조해서 재제조 포커스 링(25")을 얻었으므로, 재생률R은
R = [1-(102087/147857)]×100 = 31.0(%)이 된다.
물리적으로는, 포커스 링의 재생률R은 0.1%~90% 사이에서 실현 가능하다. 그러나 실제의 플라즈마 에칭 처리시의 생산성 등을 고려하면, 재생률R은 15%~40%정도가 바람직하며, 특히, 20&~35%정도가 바람직하다.
다음에, 재제조 포커스 링(25")과 소모 전의 포커스 링(25)을 각각 적용한 도1의 플라즈마 처리 장치를 이용하여, 임의의 샘플 웨이퍼에 있어서의 TEOS막에 대하여 동일한 조건에서, 각각 플라즈마 에칭 처리를 실행한 경우에 있어서의 처리 전후의 에칭레이트(E/R), 샘플 웨이퍼의 표면에 부착한 0.1㎛이상의 파티클 수, 및 TEOS막 표면의 오염 상태에 대해서 관찰하고, 양 포커스 링의 소모 형태에 따른 영향을 관찰하였다.
결과를 표1에 나타낸다. 또한 플라즈마 처리 조건은, 상술한 테스트 피스를 이용한 플라즈마 조사 테스트와 같은 것으로 하였다.

소모 전F/R

재제조F/R

소모 전

소모 후

소모 전

소모 후

TEOS막의 E/R

412.9

427.9

414.2

428.4

파티클

스펙인

데이터 미취득

스펙인

스펙인

오염

스펙인

-

스펙인

-
*E/R의 단위는 (㎛/min), 파티클은 0.1㎛이상
표1에 있어서, 소모 전 포커스 링(25)의 소모 처리 전후에 있어서의 E/R은 각각 412.9(㎛/min) 및 427.9(㎛/min)이고, 재제조 포커스 링(25")의 소모 전후에 있어서의 E/R은 각각414.2(㎛/min) 및 428.4(㎛/min)이며, 양쪽에 유의한 차는 보이지 않았다. 또한, 재제조 포커스 링(25")의 소모 전후에 있어서의 파티클 부착수는 모두 규격치 이내(스펙인)이며, 특히, 재제조에 의한 악영향은 보이지 않았다.
이상의 결과로부터, 상기한 재이용 방법에 있어서는, 재제조 소모품과 소모 전 소모품 사이에 있어서의 소모레이트, 소모 후의 표면 상태 및 소모에 의한 E/R 및 챔버 내 분위기에 끼치는 악영향은 없고, 재제조 소모품을 챔버 내 부품으로서 재이용함에 따른 문제가 없는 것을 확인할 수 있었다.
10: 플라즈마 처리장치 25: 포커스 링
31: 상부 전극판 38: 접지 전극
39: 아우터 링

Claims (8)

  1. 탄화규소를 CVD(Chemical Vapor Deposition)에 의해 적층하여 탄화규소 덩어리를 생성하는 탄화규소 덩어리 생성 스텝과,
    상기 탄화규소 덩어리를 가공해서 소정 형상의 플라즈마 처리장치용 소모품을 제조하는 소모품 제조 스텝과,
    상기 제조된 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 1 플라즈마 처리 스텝과,
    소정 시간 동안 실행된 상기 플라즈마 처리에 의해 소모된 상기 소모품의 표면을 세정하는 표면 세정 스텝과,
    상기 세정된 소모품의 표면에 CVD에 의해 탄화규소를 적층하는 탄화규소 적층 스텝과,
    상기 탄화규소가 표면에 적층된 소모품을 가공해서 상기 소정 형상의 소모품을 재제조하는 소모품 재제조 스텝과,
    상기 재제조된 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 2 플라즈마 처리 스텝
    을 갖는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  2. 제 1 항에 있어서,
    상기 탄화규소 덩어리 생성 스텝은, 핵 표면에 CVD에 의해 탄화규소를 적층해서 탄화규소 덩어리를 생성하는 것이며, 상기 소모 부품 제조 스텝은, 상기 탄화규소 덩어리를 상기 핵을 포함하지 않도록 가공해서 상기 소모 부품을 제조하는 것을 특징으로 하는 플라즈마 처리 장치용의 소모 부품의 재이용 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 표면 세정 스텝과, 상기 탄화규소 적층 스텝과, 상기 소모품 재제조 스텝과, 상기 제 2 플라즈마 처리 스텝을 순서대로 반복하는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 소모품 재제조 스텝 후, 상기 제 2 플라즈마 처리 스텝 전에, 상기 재제조된 소모품을 고온 분위기에 두고, 해당 고온 분위기에 탄화규소의 원료가스를 공급하는 표면 처리 스텝을 더 갖는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 표면 세정 스텝에서는, 약액을 이용하여 상기 소모품의 표면을 세정하는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 표면 세정 스텝에서는, 플라즈마를 이용하여 상기 소모품의 표면을 스퍼터하는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 소모품은 포커스 링 또는 관통하는 복수의 가스 구멍을 갖는 전극판인 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
  8. 탄화규소제의 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 1 플라즈마 처리 스텝과,
    소정 시간 동안 실행된 상기 플라즈마 처리에 의해 소모된 상기 소모품의 표면을 세정하는 표면 세정 스텝과,
    상기 세정된 소모품의 표면에 CVD에 의해 탄화규소를 적층하는 탄화규소 적층 스텝과,
    상기 탄화규소가 표면에 적층된 소모품을 가공해서 상기 소정 형상의 소모품을 재제조하는 소모품 재제조 스텝과,
    상기 재제조된 소모품을 이용하여 기판에 플라즈마 처리를 실시하는 제 2 플라즈마 처리 스텝
    을 갖는 것을 특징으로 하는 플라즈마 처리장치용의 소모품의 재이용 방법.
KR1020100055307A 2009-06-12 2010-06-11 플라즈마 처리장치용의 소모부품의 재이용 방법 KR101814201B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2009141317 2009-06-12
JPJP-P-2009-141317 2009-06-12

Publications (2)

Publication Number Publication Date
KR20100133910A true KR20100133910A (ko) 2010-12-22
KR101814201B1 KR101814201B1 (ko) 2018-01-02

Family

ID=43305523

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100055307A KR101814201B1 (ko) 2009-06-12 2010-06-11 플라즈마 처리장치용의 소모부품의 재이용 방법

Country Status (5)

Country Link
US (3) US8221579B2 (ko)
JP (1) JP5595795B2 (ko)
KR (1) KR101814201B1 (ko)
CN (1) CN101920256B (ko)
TW (1) TWI587748B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018034531A1 (ko) * 2016-08-18 2018-02-22 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 sic 반도체 제조용 부품 및 그 제조방법
KR102017138B1 (ko) 2018-09-20 2019-10-21 주식회사 와이컴 탄화규소 제품의 재생 방법 및 재생된 탄화규소 제품
KR20220067400A (ko) 2020-11-17 2022-05-24 주식회사 와이컴 고저항 탄화규소 부품 형성방법 및 고저항 탄화규소 부품

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
JP5415853B2 (ja) * 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
JP2012049220A (ja) * 2010-08-25 2012-03-08 Mitsui Eng & Shipbuild Co Ltd 耐プラズマ部材およびその再生方法
JP5710318B2 (ja) * 2011-03-03 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置
US20120255635A1 (en) * 2011-04-11 2012-10-11 Applied Materials, Inc. Method and apparatus for refurbishing gas distribution plate surfaces
CN103177920B (zh) * 2011-12-26 2016-03-16 中芯国际集成电路制造(上海)有限公司 带有矩形电感耦合线圈的刻蚀装置
US9034199B2 (en) * 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
CN103628079A (zh) * 2012-08-24 2014-03-12 宁波江丰电子材料有限公司 钽聚焦环的清洗方法
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9669653B2 (en) 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US9887121B2 (en) 2013-04-26 2018-02-06 Applied Materials, Inc. Protective cover for electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP6383647B2 (ja) * 2014-11-19 2018-08-29 東京エレクトロン株式会社 測定システムおよび測定方法
CN110491763B (zh) * 2014-12-26 2021-11-23 A·Sat株式会社 再生电极
US10041868B2 (en) * 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
US10161041B2 (en) * 2015-10-14 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal chemical vapor deposition system and operating method thereof
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR101628689B1 (ko) * 2016-01-29 2016-06-09 하나머티리얼즈(주) 플라즈마 처리 장치용 탄화규소 부품 및 이의 제조방법
KR101671671B1 (ko) * 2016-05-25 2016-11-01 주식회사 티씨케이 반도체 제조용 부품의 재생방법과 그 재생장치 및 재생부품
KR101914289B1 (ko) * 2016-08-18 2018-11-01 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 SiC 반도체 제조용 부품 및 그 제조방법
US10672594B2 (en) 2016-11-01 2020-06-02 Ontos Equipment Systems, Inc. System and method for plasma head thermal control
US10662520B2 (en) * 2017-03-29 2020-05-26 Applied Materials, Inc. Method for recycling substrate process components
JP6353109B1 (ja) * 2017-03-30 2018-07-04 住友化学株式会社 ターゲット材を洗浄するための方法、ターゲット材の製造方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
JP6420393B2 (ja) * 2017-03-30 2018-11-07 住友化学株式会社 ターゲット材をリサイクルするための方法、リサイクル鋳塊の製造方法およびリサイクル鋳塊
KR101974421B1 (ko) * 2017-07-18 2019-05-03 세메스 주식회사 에지 링의 제조 방법 및 에지 링 재생 방법
CN109671607B (zh) * 2017-10-17 2021-12-17 北京北方华创微电子装备有限公司 工件的加工方法和工艺腔室
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
JP6794405B2 (ja) * 2018-06-27 2020-12-02 株式会社フェローテックマテリアルテクノロジーズ SiC部材およびその製造方法
KR102159224B1 (ko) * 2018-07-17 2020-09-23 주식회사 마스터 포커스 링, 그 제조 방법, 및 기판 처리 장치
JP7154105B2 (ja) * 2018-10-25 2022-10-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP2020105590A (ja) * 2018-12-27 2020-07-09 キオクシア株式会社 基板処理装置および基板処理方法
KR102305539B1 (ko) * 2019-04-16 2021-09-27 주식회사 티씨케이 SiC 엣지 링
JP6598132B1 (ja) * 2019-06-13 2019-10-30 株式会社アドマップ 成膜構造体の再生方法および再生成膜構造体
KR102124738B1 (ko) 2019-06-13 2020-06-18 가부시키가이샤 아드맵 성막 구조체의 재생 방법 및 재생 성막 구조체

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU5180496A (en) * 1995-03-01 1996-09-18 Saint-Gobain/Norton Industrial Ceramics Corporation Novel silicon carbide dummy wafer
DE19603323A1 (de) * 1996-01-30 1997-08-07 Siemens Ag Verfahren und Vorrichtung zum Herstellen von SiC durch CVD mit verbesserter Gasausnutzung
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JP2000160343A (ja) * 1998-08-27 2000-06-13 Toyo Tanso Kk 耐食性CVD―SiC及び耐食性CVD―SiC被覆材
JP2000150471A (ja) * 1998-11-09 2000-05-30 Sony Corp エッチング装置及びこれを用いた半導体装置の製造方法
JP4786782B2 (ja) * 1999-08-02 2011-10-05 東京エレクトロン株式会社 耐食性に優れたCVD−SiCおよびそれを用いた耐食性部材、ならびに処理装置
JP2001047077A (ja) * 1999-08-16 2001-02-20 Matsushita Electric Ind Co Ltd 炭化生物ろ床及びその製造方法並びにそれを使用した生物浄化処理装置
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
JP4447131B2 (ja) * 2000-07-26 2010-04-07 東洋炭素株式会社 炭化ケイ素被覆黒鉛部材の再生方法及びそれによる炭化ケイ素被覆黒鉛部材
JP3982678B2 (ja) * 2002-02-27 2007-09-26 東京エレクトロン株式会社 プラズマ処理装置
JP4286025B2 (ja) * 2003-03-03 2009-06-24 川崎マイクロエレクトロニクス株式会社 石英治具の再生方法、再生使用方法および半導体装置の製造方法
US20040261946A1 (en) * 2003-04-24 2004-12-30 Tokyo Electron Limited Plasma processing apparatus, focus ring, and susceptor
JP4547182B2 (ja) 2003-04-24 2010-09-22 東京エレクトロン株式会社 プラズマ処理装置
JP5082246B2 (ja) * 2006-01-20 2012-11-28 東京エレクトロン株式会社 プラズマ発生用の電極、プラズマ処理装置及びプラズマ発生用の電極の製造方法
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
TW200839829A (en) * 2007-03-21 2008-10-01 Advanced Micro Fab Equip Inc Capacitance-coupled plasma chamber, structure and manufacturing method of gas distribution head, refurbishment and reuse method thereof
KR101119797B1 (ko) * 2007-06-01 2012-03-22 가부시키가이샤 아드맵 플라즈마 처리 장치용 전극의 제조 방법 및 재생 방법
JP5179823B2 (ja) * 2007-09-28 2013-04-10 東京エレクトロン株式会社 気化器及び成膜装置
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
US20090151870A1 (en) * 2007-12-14 2009-06-18 Tokyo Electron Limited Silicon carbide focus ring for plasma etching system
JP5595795B2 (ja) * 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
SG170717A1 (en) * 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018034531A1 (ko) * 2016-08-18 2018-02-22 주식회사 티씨케이 투과도가 다른 복수 개의 층을 갖는 sic 반도체 제조용 부품 및 그 제조방법
KR102017138B1 (ko) 2018-09-20 2019-10-21 주식회사 와이컴 탄화규소 제품의 재생 방법 및 재생된 탄화규소 제품
KR20220067400A (ko) 2020-11-17 2022-05-24 주식회사 와이컴 고저항 탄화규소 부품 형성방법 및 고저항 탄화규소 부품

Also Published As

Publication number Publication date
US8475622B2 (en) 2013-07-02
TW201130390A (en) 2011-09-01
US20100314356A1 (en) 2010-12-16
CN101920256A (zh) 2010-12-22
CN101920256B (zh) 2012-12-05
US20120258258A1 (en) 2012-10-11
KR101814201B1 (ko) 2018-01-02
JP2011018894A (ja) 2011-01-27
TWI587748B (zh) 2017-06-11
JP5595795B2 (ja) 2014-09-24
US8221579B2 (en) 2012-07-17
US20130284375A1 (en) 2013-10-31

Similar Documents

Publication Publication Date Title
KR101814201B1 (ko) 플라즈마 처리장치용의 소모부품의 재이용 방법
US11935727B2 (en) Substrate processing method
JP5719599B2 (ja) 基板処理装置
KR101697285B1 (ko) 챔버 내 클리닝 방법
US20110076401A1 (en) Method of Making Showerhead for Semiconductor Processing Apparatus
KR101449081B1 (ko) 기판 처리 방법
JP2009117711A (ja) シャワープレート及び基板処理装置
KR20080050528A (ko) 개선된 파티클 성능을 갖는 능동 가열형 알루미늄 배플컴포넌트 및 그 사용 및 제조 방법
US8982529B2 (en) Substrate mounting and demounting method
US20080236746A1 (en) Substrate processing apparatus and substrate mounting stage on which focus ring is mounted
JP5281811B2 (ja) プラズマ処理用環状部品、プラズマ処理装置、及び外側環状部材
US20030155078A1 (en) Plasma processing apparatus, and electrode plate, electrode supporting body, and shield ring thereof
US8785214B2 (en) Method of recycling silicon component for plasma etching apparatus and silicon component for plasma etching apparatus
JPWO2002048421A1 (ja) プラズマ処理容器の再生方法,プラズマ処理容器内部材,プラズマ処理容器内部材の製造方法,及びプラズマ処理装置
JP6239296B2 (ja) プラズマ処理装置のステージ製造方法
JP5179219B2 (ja) 付着物除去方法及び基板処理方法
WO2007091726A1 (ja) シリコンウェハの表面層の除去方法
US20110024040A1 (en) Deposit protection cover and plasma processing apparatus
JP2004071791A (ja) 基板載置部材およびそれを用いた基板処理装置
JPH11176820A (ja) 半導体装置の成膜処理装置、半導体装置の製造方法及び半導体の薄膜形成方法
JP4184814B2 (ja) 平行平板型プラズマcvd装置および成膜基板の製造方法
JP2024058822A (ja) 陰極部材、陰極、高速原子ビーム源および接合基板の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant