KR20090056919A - 로드락 디자인 및 로드락을 사용하기 위한 방법 - Google Patents

로드락 디자인 및 로드락을 사용하기 위한 방법 Download PDF

Info

Publication number
KR20090056919A
KR20090056919A KR1020080120312A KR20080120312A KR20090056919A KR 20090056919 A KR20090056919 A KR 20090056919A KR 1020080120312 A KR1020080120312 A KR 1020080120312A KR 20080120312 A KR20080120312 A KR 20080120312A KR 20090056919 A KR20090056919 A KR 20090056919A
Authority
KR
South Korea
Prior art keywords
annular
chamber
load lock
loadlock
wafer
Prior art date
Application number
KR1020080120312A
Other languages
English (en)
Other versions
KR101555369B1 (ko
Inventor
크리스 게이지
숀 해밀턴
셀던 템플톤
케이스 우드
다몬 제네티
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20090056919A publication Critical patent/KR20090056919A/ko
Application granted granted Critical
Publication of KR101555369B1 publication Critical patent/KR101555369B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

공개된 웨이퍼 처리 공정의 장치 및 방법이 제공된다. 특정한 실시예는 저장 카세트(storage cassettes)로부터 처리 공정 모듈(processing modules)까지 웨이퍼를 이송시키고 역이송시키는 이중 웨이퍼 취급 시스템(dual wafer handling systems)을 포함하고 이의 특징을 설명한다. 통기 작업 공정 및 펌프 작업 공정이 병렬식으로 작동하며 입자 감소에 대해 최적화될 수 있는 적재 독립 로드락(stacked independent loadlocks)이 제공된다. 또한, 로드락의 통기(vent) 및 펌프 다운(pumpdown) 중 방사상의 상하 흐름(radial top down flow)에 대한 환형 디자인이 제공된다.

Description

로드락 디자인 및 로드락을 사용하기 위한 방법{LOADLOCK DESIGNS AND METHODS FOR USING SAME}
본 명세서에서 공개된 장치 및 방법은 웨이퍼의 병렬식 처리 과정에 관한 것이다. 특정 실시예는 저장 카세트로부터 처리 모듈까지 웨이퍼를 이송하고 다시 역 이송하는 이중 웨이퍼 취급 시스템과 이러한 특징을 포함한다. 통기 작동 및 펌프 작동을 병렬식으로 작동하도록 하며 미립자 감소에 대해 최적화될 수 있는 적재 독립 로드락(loadlocks)이 제공된다. 또한 로드락 통기 및 펌프다운(pumpdown) 중 방사상의 상하 방향에 대한 환형 디자인이 제공된다.
공구의 서로 다른 형태가 반도체 장치 제작(semiconductor device fabrication) 중 수백가지의 처리 과정 작업 공정을 수행하기 위해 사용된다. 이러한 대부분의 작업 공정은 매우 낮은 온도에서 진공 챔버 내에서 수행된다. 웨이퍼는 처리 챔버로 기계적으로 커플 결합되는 웨이퍼 취급 시스템(wafer handling systems)을 가진 처리 챔버로 도입된다. 상기 웨이퍼 취급 시스템은 제작 플로어에 서 처리 챔버로 웨이퍼를 이송시킨다. 이러한 시스템은 기상 조건(atmospheric conditions)에서 매우 낮은 압력 조건으로 웨이퍼를 이송하고 역이송시키는 로드락(loadlocks)을 포함하고, 다양한 위치로 상기 웨이퍼를 이송시키기 위한 로봇(robots)을 포함한다. 시간 주기로 처리되는 수 많은 웨이퍼의 효율은 처리 시간, 한번에 처리되는 웨이퍼의 개수 뿐만 아니라 진공 처리 챔버로 상기 웨이퍼를 도입시키기 위한 단계적 시간에 의해 영향을 받는다. 요구되는 것은 처리량을 증가시키는 개선된 방법 및 장치이다.
본 발명의 측면은 대기 환경과 진공 이송 모듈 사이에서 기판을 이송시키기 위한 적재 로드록 조립체에 관한 것이다. 상기 조립체는 하나 이상의 챔버를 가지는 하부 로드록을 포함하고, 각각의 챔버는 상기 챔버와 이송 모듈 로봇 사이에서 기판을 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어(door) 및 기판 지지부를 가지고 상부 로드록은 상기 하부 로드록 위에 위치되며, 상기 상부 로드록은 하나 이상의 챔버를 가지고, 각각의 챔버는 상기 챔버와 이송 모듈 로봇 사이에서 웨이퍼를 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어 및 기판 지지부를 가진다. 상기 상부 로드록은 상기 하부 로드록으로부터 격리되고 상기 상부 및 하부 기판 이송 평면 사이 수직한 거리는 100 mm 이하이며, 어느 일 실시예에 있어서 70 mm이하이다. 어느 일 실시예에 있어서, 상기 적재 로드록 조립체의 높이는 상기 하부 로드록 챔버의 최저부로부터 상부 로드록 챔버의 상단까지 측정되는 바와 같이 10" 이하이다. 일반적으로 챔버 체적은 대략 3 내지 20 리터(L)의 범위이다. 어느 일 실시예에 있어서, 상기 적재 로드록 조립체의 각각의 로드록은 듀얼 기판 챔버를 가진다.
어느 일 실시예에 있어서, 상기 적재 로드록 조립체의 하나 이상의 로드록은 방사상의 통기 작용 및/또는 방사상의 펌프다운을 형성한다. 어느 일 실시예에 있어서, 상기 상부 로드록은 방사상의 펌프다운을 위해 형성되고 상기 하부 로드록은 방사상의 통기 작용을 위해 형성된다. 또한 어느 일 실시예에 있어서, 각각의 로드록은 방사상의 통기 작용을 위해 형성된다. 또한 어느 일 실시예에 있어서, 각각의 로드록은 하나 이상의 방사상의 펌프 작용 및 방사상의 통기 작용을 위해 형성된다. 어느 일 실시예에 있어서, 상기 로드록 조립체는 중앙 펌프 작용 포트 또는 중앙 통기 작용 포트를 가지지 않는다.
본 발명의 또 다른 측면은 제 1 환경으로부터 제 2 환경으로 기판을 이송시키기 위한 적재 로드록 조립체에 관한 것이고, 상기 조립체는 하나 이상의 기판 챔버를 가지는 상부 로드록을 포함하고, 하부 로드록은 하나 이상의 기판 챔버를 포함하며, 각각의 상부 로드록 기판 챔버는 하부 로드록 기판 챔버 위에 배치되고, 하나 이상의 중앙 플레이트는 상기 중첩 상부 로드록 챔버로부터 각각의 하부 로드록 기판을 격리시키며, 이에 각각의 중앙 플레이트는 상기 하부 로드록 챔버의 천 장과 상기 상부 로드록 챔버의 플로어를 형성한다.
어느 일 실시예에 있어서, 각각의 중앙 플레이트 환형 고리 오목부가 형성되고, 어느 한 환형 고리 오목부는 상기 상부 로드록 챔버의 외부에서 가스를 펌프 작용하기 위한 흐름 경로를 적어도 부분적으로 형성하며, 또 다른 환형 고리 오목부는 상기 하부 로드록 챔버로 가스를 통기시키기 위한 흐름 경로를 적어도 부분적으로 형성한다.
어느 일 실시예에 있어서, 상기 적재 로드록 조립체는 상기 상부 로드록 내부 및/또는 외부에서 기판을 이송시키기 위한 하나 이상의 상부 구멍과, 상기 하부 로드록의 내부 및/또는 외부에서 기판을 이송시키기 위한 하나 이상의 하부 구멍을 가진다. 하나 이상의 상기 상부 구멍은 대략 100 mm의 수직 거리로 하나 이상의 하부 구멍으로부터 격리된다. 상기 조립체의 높이는 어느 일 실시예에 있어서 10" 챔버 높이 이하이다. 또한 어느 일 실시예에 있어서, 상기 적재 로드록 조립체는 하나 이상의 상부 로드록 챔버를 덮기 위한 하나 이상의 상부 로드록 리드를 가지며, 이에 각각의 리드는 상기 하부 로드록 챔버로 가스를 통기시키기 위한 흐름 경로를 적어도 부분적으로 형성하는 환형 고리 오목부를 가진다.
본 발명의 또 다른 측면은 로드록 구멍을 사용하여 대기 환경 및 진공 환경 사이 기판을 이송하는 방법에 관한 것이고, 다양한 실시예에 따라 이는 다음과 같은 하나 이상의 특징을 가지며, 다음 특징은 하부 로드록이 하나 이상의 챔버를 가지고, 각각의 챔버는 상기 챔버와 이송 모듈 로봇 사이 기판을 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어와 기판 지지부를 가지며, 상부 로드록은 상기 하부 로드록 위에 배치되고, 상기 상부 로드록은 하나 이상의 챔버를 가지고, 각각의 챔버는 이송 모듈 로봇과 상기 챔버 사이에서 웨이퍼를 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어와 기판 지지부를 가지는 특징을 가진다. 상기 방법은 상부 로드록 기판 수평 이송 평면 상에서 하나 이상의 상부 로드록 챔버와 대기 환경 사이에서 하나 이상의 기판을 이송하는 단계를 포함하고, 하부 로드록 기판 수평 이송 평면 상에서 하나 이상의 로드록 챔버와 상기 진공 환경 사이에서 하나 이상의 기판을 이송시키는 단계를 포함하며, 이에 상기 상부 로드록은 상기 하부 로드록으로부터 격리되고, 상부 및 하부 기판 수평 이송 평면 사이 수직 거리는 100mm 이하이다.
본 발명의 또 다른 측면은 웨이퍼를 가지는 로드록 챔버를 방사상으로 통기시키기 위한 로드록 장치에 관한 것이다. 상기 장치는 상기 로드록 챔버 내 웨이퍼 지지부, 측부 유입구 포트, 상기 로드록 챔버 위에 위치된 환형 고리 챔버로 개방되는 상기 측부 유입구 포트, 상기 지지부 상에서 웨이퍼에 대해 평행한 흐름을 안내하기 위한 환형 고리 계단식 좁은 채널에 연결된 상기 환형 고리 챔버를 포함한다. 다양한 실시예에 있어서, 상기 로드록 장치는 하나 이상의 다음과 같은 특징을 포함한다. 로드록 하우징은 로드록 하우징 및 상기 로드록 챔버의 천장을 형성하는 상부 플레이트와 상기 측부 유입구 포트를 형성하고, 이에 상기 환형 고리 채널은 상기 상부 플레이트의 오목하게 형성된 부분과 상기 로드록 하우징에 의해 형성되는 특징을 가진다. 어느 일 실시예에 있어서, 로드록 하우징과 상기 상부 플레이트의 환형 고리 단면은 계단식으로 형성되고, 상기 플레이트의 계단식 단면 외부 직 경은 상기 로드록 하우징의 계단식 단면 내부 직경보다 적게 형성되어, 이에 의해 상기 환형 고리 계단식 채널을 형성한다. 상기 환형 고리 계단식 좁은 채널의 폭은 어느 일 실시예에 있어서 대략 0.005 내지 0.050 인치 사이에서 형성된다. 상기 계단식 채널은 웨이퍼 표면에 대해 평행한 외부 단면, 수직 단면, 내부 평행한 단면을 포함할 수 있다. 어느 일 실시예에 있어서, 상기 환형 고리 챔버의 직사각형 횡단면의 치수는 대략 0.25 내지 1.5 인치의 범위이다. 또한 어느 일 실시예에 있어서, 상기 측부 유입구 포트, 상기 환형 고리 챔버 및 환형 고리 계단식 좁은 채널은 상기 로드록 챔버로 통기된 가스를 위한 흐름 경로를 형성한다. 상기 환형 고리 계단식 좁은 채널은 어느 일 실시예에 있어서 상기 통기 가스 흐름을 막는다.
본 발명의 또 다른 측면은 웨이퍼를 가지는 로드록 챔버를 방사상으로 펌프 다운 작용시키기 위한 로드록 장치에 관한 것이다. 상기 장치는 상기 로드록 챔버 내 웨이퍼 지지부, 환형 고리 챔버로 개방되는 측부 유출구 포트, 상기 웨이퍼 지지부 이하에서 위치된 상기 환형 고리 챔버를 포함하고, 상기 환형 고리 챔버로 흐름을 안내하기 위한 상기 환형 고리 챔버로 상기 로드록 챔버를 연결하는 좁은 환형 고리 채널을 포함한다. 상기 환형 고리 채널의 상기 내부 직경은 상기 웨이퍼 지지부 직경보다 크다. 또한, 상기 로드록 장치는 상기 측부 유출구 포트를 형성하는 로드록 하우징을 포함할 수 있다. 어느 일 실시예에 있어서, 상기 장치는 로드록 하우징과 상기 로드록 챔버의 플로어를 형성하는 하부 플레이트를 포함하고, 이에 상기 환형 고리 채널은 상기 하부 플레이트의 오목하게 형성된 부분과 상기 로드록 하우징에 의해 형성된다. 또한 상기 장치는 로드록 하우징을 포함할 수 있으 며, 이에 상기 플레이트 단면의 외부 직경은 상기 로드록 하우징 단면의 내부 직경보다 적게 형성되어 이에 따라 상기 환형 고리 채널을 형성한다. 어느 일 실시예에 있어서 상기 좁은 환형 고리 채널의 폭은 대략 0.005 내지 0.050 사이에서 형성되고 상기 환형 고리 챔버의 직사각형 횡단면은 대략 0.25 내지 1.5 인치의 범위에서 형성된다.
본 발명의 또 다른 측면은 적재 로드록 장치에 관한 것이며, 상기 적재 로드록 장치는 상기 상부 로드록 챔버 내 웨이퍼 지지부를 가지는 하부 로드록 챔버를 포함하고, 측부 유입구 포트를 포함하며, 상기 측부 유입구 포트는 상기 상부 로드록 챔버 위에 위치된 상부 환형 고리 챔버로 개방되고, 상기 지지부 상에 웨이퍼에 대해 평행한 흐름을 안내하기 위한 환형 고리 계단식 좁은 채널에 연결된 상기 환형 고리 챔버를 포함하며, 상기 적재 로드록 장치는 상부 로드록 챔버를 포함하고, 상기 로드록 챔버는 상기 로드록 챔버 내 웨이퍼 지지부를 가지며, 환형 고리 챔버로 개방되는 측부 유출구 포트를 가지고, 환형 고리 챔버는 상기 웨이퍼 지지부 아래에서 위치되며, 좁은 환형 고리 채널은 상기 로드록 챔버를 상기 환형 고리 챔버로 흐름을 안내하기 위한 상기 환형 고리 챔버로 연결하고, 상기 환형 고리 채널의 내부 직경은 상기 웨이퍼 지지부 직경보다 크다.
본 발명의 추가적인 측면은 웨이퍼를 가지는 로드록 챔버를 통기시키기 위한 방법에 관한 것이고, 상기 로드록 챔버는 상기 로드록 챔버 내 웨이퍼 지지부를 포함하고 측부 유입구 포트를 포함하며, 상기 측부 유입구 포트는 상기 로드록 챔버 위에 위치된 환형 고리 챔버로 개방되고, 상기 환형 고리 챔버는 상기 지지부 상에 서 웨이퍼에 대해 평행한 흐름을 안내하기 위하여 환형 고리 계단식 좁은 채널에 연결된다. 상기 방법은 상기 환형 고리 챔버를 통하여 가스를 유입시키는 단계를 포함하여, 이에 따라 상기 가스는 상기 환형 고리 계단식 좁은 채널로 흐르게 하여 상기 웨이퍼에 대해 평행한 상기 로드록 챔버로 가스의 방사상 흐름을 안내한다.
웨이퍼를 가지는 로드록 챔버 아래 펌프 형성시키는 방법에 있어, 상기 로드록 챔버는 상기 로드록 챔버 내 웨이퍼 지지부를 포함하고, 환형 고리 챔버로 개방되는 측부 유출구 포트를 포함하며, 상기 환형 고리 챔버는 상기 웨이퍼 지지부 이하에서 위치되고, 상기 환형 고리 챔버로 흐름을 안내하기 위한 상기 환형 고리 챔버로 상기 로드록 챔버를 연결하는 좁은 환형 고리 채널을 포함한다. 상기 방법은 상기 환형 고리 챔버로 상기 환형 고리 채널을 통하여 상기 가스가 막히도록 상기 측부 유출구 포트를 통하여 가스를 펌프 작용시킴으로써 상기 웨이퍼의 중앙으로부터 외부 방향으로 가스를 방사상으로 펌프 작용하는 단계를 포함할 수 있다.
도 1은 본 발명의 특징에 따르는 듀얼 웨이퍼 핸들링 장치 및 이의 부품 외측을 도시한다. 도 1에 도시된 장치는 대기 상태(즉, 저장 유닛으로 그리고 저장 유닛으로부터)로부터 하나 이상의 공정 챔버(예를 들어 PECVD 챔버)로 그리고 역으로 웨이퍼들을 이송하기 위해 이용될 수 있다. 도 1에 도시된 장치는 3개의 주요 부품, 즉 대기 환경(atmospheric environment, 102), 로드록(loadlock, 104) 및 이송 모듈(transfer module, 106)을 가진다. 저장 유닛(즉, Front Opening Unified Pods 또는 FOUPs) 및 공정 챔버는 도면에 도시되지 않는다. 대기 환경은 일반적으로 대기 압력하에 있으며, FOUP 및/또는 외부 설비의 부품들과 상호 작용할 수 있다. 일반적으로 이송 모듈(106)은 아-대기압력하에에 있으며, 진공 또는 낮은 압력에서 종종 작동되는 로드록 및 다양한 공정 챔버와 연통될 수 있다. 웨이퍼들은 대기 환경과 아-대기 환경 간에 변환될 때 펌프다운(pump-down) 또는 통기 작업(vent operation)을 위해 로드록(104) 내에 위치된다.
대기 환경(102)(또한 '미니-환경(mini-environment)'으로 언급됨)은 FOUPs 및 로드록(104)으로 그리고 이로부터 웨이퍼들을 이동하는 대기 로봇(도시되지 않음)을 수용한다. 포드 로더(pod loader, 108)는 FOUP를 수용하고 지지하여 대기 로봇에 의해 접근될 수 있다. 일반적으로 대기 환경(102)은 오염물질이 대기 환경으로 반입되는 것을 방지하기 위하여 오버헤드 팬 필터 유닛(overhead fan filter unit), 즉 HEPA 필터 유닛을 수용한다. 팬 필터 유닛용 공기 반입부(110)가 도 1에 도시된다. 대기 또는 미니-환경의 하부 바운더리(lower boundary)는 도 1에서 도면부호 112로 도시된 바와 같이 임시 플로어(false floor)일 수 있다.
로드록(104)은 공정 챔버로 이동되어지는 대기 환경(102)으로부터 인바운드(미처리된) 웨이퍼를 수용하며, 재차 FOUP로 이송되어지는 이송 모듈(106)로부터 아웃바운드(처리된) 웨이퍼를 수용한다. 로드록은 양방향이거나(인바운드 및 아웃바운드 웨이퍼를 홀딩함) 또는 단방향일 수 있다(오직 인바운드 웨이퍼 또는 아웃 바운드 웨이퍼만 홀딩함). 특정 실시예에서, 로드록은 단방향성이다(unidirectional). 본 명세서에서 인바운드 웨이퍼(inbound wafer)는 반입되는(incoming) 또는 가공되지 않은 웨이퍼로 언급되며, 아웃바운드 웨이퍼(outbound wafer)는 본 명세서에서 반출되는(outgoing) 또는 가공된 웨이퍼로 언급된다.
도 1에서, 2개의 독립적인 로드록이 제공되며, 상부 로드록은 하부 로드록의 상부에 적재되고, 이들 각각은 2개의 연결된 챔버를 가진다. 특정 실시예에서, 상부 로드록은 인바운드 로드록이며, 하부 로드록은 아웃바운드 로드록이다. 플레이트(114)는 인바운드 로드록의 리드(lid)이며, 각각의 플레이트는 2개의 연결된 챔버들 중 하나의 챔버를 덮는다. 로드록 진공 펌프(116)는 작동 중 필요시 로드록을 펌프 다운하는데(pump down) 이용될 수 있다.
대기 밸브 도어(118)는 대기 환경(102)으로부터 로드록으로의 액세스(access)를 제공된다. 도시된 상기 실시예에서, 게이트 밸브, 슬라이딩 도어, 회전 도어, 등등을 포함하는 임의의 타입의 도어 또는 밸브가 이용될지라도 미니-환경으로 외부적으로 장착된 4개의 도어 슬릿 밸브(four door slit valve)가 이용된다.
이송 모듈은 하나 이상의 공정 모듈(예를 들어 단일 또는 다수의-스테이션 PECVD 챔버, UV 경화 챔버, 등등)로 부착되도록 구성된다. 공정 모듈은 이송 모듈의 다수의 인터페이스 위치/측면에서 이송 모듈(106)로 부착될 수 있다. 슬릿 밸브(122)는 이송 모듈로부터 공정 모듈까지의 액세스를 제공한다. 임의의 적합한 밸브 또는 도어가 이용될 수 있다. 도 1에서, 측면마다 2개의 밸브가 제공되는데, 이 에 따라 2개의 웨이퍼는 로드록과 공정 모듈 사이(즉 공정 모듈의 2개의 인접 스테이션과 로드록의 2개의 챔버 사이) 또는 2개의 고정 모듈 사이에서 이송될 수 있다. 이송 모듈 리프트 조립체(120)는 이송 모듈의 커버(128)를 상승시키고 하강시키기 위해 이용된다. 도 1에서, 커버(128)는 하강된 상태에 있다(즉, 이송 모듈의 내부는 도면에 도시되지 않음). 진공 이송 로봇은 공정 모듈로부터 공정 모듈로 또는 로드록과 공정 모듈 사이에서 웨이퍼를 이동하기 위한 이송 모듈의 내부에 위치된다.
이송 모듈(106)은 아-대기압(sub-atmospheric pressure)에서 유지되며, 종종 본 명세서에서 진공 이송 모듈로 언급된다. 이송 모듈 압력은 일반적으로 760 토르(torr) 내지 1 밀리토르(miliTorr)이며, 특정 실시예에서 공구는 심지어 상대적으로 낮은 압력 상태에서 이용될 수 있다. 인바운드 웨이퍼가 로드록 내에 위치될 때, 로드록 진공 펌프(116)는 로드록을 아-대기압으로 펌프 다운하기 위해 이용되며, 그 뒤 웨이퍼는 진공 이송 모듈로 이송될 수 있다. 로드록 슬릿 밸브(130)는 이송 모듈(106)로부터 로드록으로의 액세스를 제공한다. 가스 질량 유량계(gas mass flow controller, MFC), 스로틀 밸브 및 나노미터와 함께 이송 모듈 진공 펌프(124)는 이송 모듈의 목표 압력을 얻고 유지시키기 위해 이용된다. 일반적으로, 온-툴(on-tool) 또는 오프-툴 진공 펌프(off-tool vacuum pump)가 이송 모듈에 대해 이용될 수 있다. 종래 기술에 공지된 바와 같이, 이송 모듈 내에서 압력을 조절하기 위한 다양한 방법이 존재한다. 한 실시예에서, MFC는 N2 가스를 이송 챔버로 일정하게 흐르도록 하기 위해 제공한다. 마노미터(manometer)는 이송 모듈 챔버의 압력에 대한 피드백을 제공한다. 진공 펌프는 분당 큐빅 피트 내에서 측정된 바와 같이 단위 시간 당 가스의 일정 체적을 제거한다. 스로틀 밸브는 폐쇄 루프 제어 시스템을 이용함으로써 압력 셋 포인트를 활력적으로 유지시킨다. 스로틀 밸브는 마노미터의 압력 피드백을 판독하고, 밸브의 제어 시스템으로부터의 명령에 기초하여 진공 펌프로 효과적인 오리피스의 개방을 조절한다.
접근 패널(access panel, 126)은 로봇 움직임, 압력, 타이밍, 등등을 포함하는 웨이퍼 핸들링 작업을 제어하기 위하여 제어 시스템을 포함하는 일렉트로닉스 베이(electronics bay)로의 액세스를 제공한다. 제어 시스템은 공정 모듈 내에서 수행되는 몇몇 또는 모든 작업을 제어할 수 있다. 컨트롤러, 스위치 및 그 외의 다른 연관 전기적 하드웨어가 다양한 실시예에 따라 배치될 수 있다.
도 2a 및 도 2b는 대기 환경(102) 및 이송 모듈(106)의 내부 도면을 도시하는 듀얼 웨이퍼 핸들링 장치의 추가도이다. 도 2a 및 도 2b에 도시된 장치는 도 2a 및 도 2b에서 장치의 이송 모듈의 형태가 사다리꼴로 형성되어 이송 모듈에 대해 상대적으로 큰 접근 영역(238)이 제공될 수 있음이 제외되고 도 1에 도시된 장치와 실질적으로 동일하다. 이송 모듈은 조립체와 리드를 들어올리며, 대기 환경 케이싱의 일부분은 도 2a에서 도시되지 않는다.
종종 미니-환경으로 언급되는 대기 환경(102)은 대기 로봇(atmospheric robot, 232)을 수용한다. 이송 모듈(106)은 진공 로봇(236)을 수용한다. 도 2a에 도시된 실시예에서, 대기 로봇(232)은 2개의 관절형 손목(articulated wrist)을 가 진 하나의 암을 가지며, 상기 각각의 관절형 손목은 패들 또는 웨이퍼를 이송할 수 있는 그 외의 다른 말단 작동기(end effector)를 가진다. 진공 이송 로봇(236)은 2개의 암을 가지며, 상기 암들 각각은 웨이퍼를 이송할 수 있는 2개의 패들을 포함한다. 대기 로봇은 2개의 웨이퍼를 동시에 핸들링할 수 있으며, 진공 로봇은 4개가지의 웨이퍼를 동시에 이송할 수 있다(본 명세서에 기술된 장치 및 방법은 상기 특정 로봇 형상에 제한되지 않으며, 각각의 로봇은 적어도 2개의 웨이퍼를 핸들링, 이송 또는 교체할 수 있다).
도 2a는 매니폴드로부터 진공 펌프(244)까지 안내되는, 로드록 펌프 포라인(loadlock pump foreline)으로 언급된, 파이프(244)의 부분도를 도시한다. 듀얼 진공 펌프(244)들은 직렬로(in tandem) 작동되며, 양 로드록을 펌프다운하기 위해 이용된다. 다양한 실시예에 따라서, 듀얼 펌프는 단일의 펌프 리소스로서 기능을 할 수 있거나 또는 평행 펌프 다운들을 위한 특정 로드록으로 제공될 수 있다. 도 2b는 마주보는 방향으로부터 도 2a에 도시된 장치를 도시하는 도면이다. 이송 모듈 리프트 조립체(120)와 이송 모듈 리드(128)는 직립 위치로 도시된다.
도 3a 내지 도 3f는 FOUP로부터 웨이퍼 이송 모듈로 그리고 역으로 한 쌍의 웨이퍼의 듀얼 웨이퍼 이송하는데 있어서 특정 작업들을 도시하는 도면이다. 도 3a는 이송 모듈(106), 상부(인바운드) 로드록(104a), 하부(아웃바운드) 로드록(104b) 및 대기 환경(102)을 포함하는 장치를 도시한다. 또한 공정 모듈(303a, 303b)이 도시된다. 이에 따라 대기 환경(102)으로 반입되기에 앞서 웨이퍼들은 대기 환경(102)과 접하는 FOUP(334) 내에 배열된다. 대기 환경(102)은 대기 로봇(332)을 수용하고, 이송 모듈(106)은 대기 로봇(336)을 수용한다.
상기 언급된 바와 같이, 상기 장치는 2개의 웨이퍼를 평행 이송하고 처리할 수 있다. 대기 및 이송 모듈 진공 로봇은 적어도 2개의 웨이퍼를 동시에 핸들링할 수 있다.
대기 로봇(332)은 2개의 관절형 손목을 포함하는 하나의 암을 가지며, 상기 관절형 손목 각각은 웨이퍼를 이송할 수 있는 그리퍼를 가진다. 진공 이송 로봇(336)은 2개의 암을 가지며, 상기 암 각각은 웨이퍼를 이송할 수 있는 그리퍼 또는 2개의 블레이드를 가진다.
대기 로봇은 FOUP로부터 2개의 웨이퍼를 얻는다(FOUP, 로드록 또는 공정 스테이션과 같은 위치로부터 로봇까지 웨이퍼의 이동은 본 명세서에서 종종 "집기(pick)" 동작으로 언급되며, 반면 로봇에 의한 웨이퍼의 위치 변위는 본 명세서에서 종종 '배치(place)' 동작으로 언급된다. 이러한 동작들은 "얻기(get)" 그리도 "놓기(put)" 운동으로 본 명세서에서 각각 언급된다.) FOUP 또는 그 외의 다른 웨이퍼 스토리지의 장치 및 로봇에 의존하여, 2개의 웨이퍼는 동시에 또는 차례로 얻어질 수 있다. 도 3a에 도시된 실시예에서, 예를 들어 대기 로봇은 2개의 관절형 손목을 포함하는 하나의 암을 포함하고, 2개의 적재된 웨이퍼를 동시에 이송할 수 있으며, 즉 FOUP로부터 2개의 적재된 웨이퍼를 동시에 집을 수 있다(pick). 도 3b는 FOUP로부터 상부 로드록(104a)까지 이송되는 동안 2개의 웨이퍼(335', 335")를 포함하는 대기 로봇(332)을 도시한다. 그 뒤 대기 로봇은 웨이퍼들을 감압 동안 웨이퍼를 상부 로드록(104a)으로 배치시킨다. 이는 도 3c에 도시된다. 한 웨이퍼는 각각의 챔버 내에 위치된다. 웨이퍼들이 상부 로드록 내에 위치될 때, 상부 로드록의 대기 도어(atmospheric door, 118a)는 폐쇄되고, 로드록은 펌프다운된다. 목표 압력에 도달될 때, 이송 모듈 측면 상의 상부 로드록 도어(120a)는 개방되며, 이송 모듈 로봇(106)은 상부 로드록으로부터 웨이퍼들을 집는다(pick). 도 3d는 웨이퍼(335', 335")를 포함한 이송 모듈 로봇(106)을 도시한다. 도 3a 내지 도 3e에 도시된 이송 모듈 로봇은 2개의 암을 가지며, 상기 각각의 암은 2개의 말단 작동기를 포함하고, 4개의 웨이퍼를 동시에 고정할 수 있다. 도시된 실시예에서, 상부 로드록은 패시브 웨이퍼 센터링(passive wafer centering)을 갖지 않으며, 각각의 웨이퍼에 대한 로드록 내에 독립 z-드라이브가 제공되지 않는다. 어느 한 실시예에서, 진공 로봇은 웨이퍼를 동시에 집고, 2개의 웨이퍼가 반입되는 로드록 내에 존재한다면 하나의 웨이퍼를 선택적으로 집을 수 없다. 그러나, 로봇 및 시스템에 의존하여, 이송 모듈 로봇은 각각의 웨이퍼를 동시에 또는 순차적으로 집을 수 있다(pick). 또한 로봇 및 시스템에 의존하여, 로봇은 양 웨이퍼를 집기 위한 2개의 말단 작동기를 가진 하나의 암을 이용할 수 있거나 또는 각각의 웨이퍼는 상이한 암에 의해 집어질 수 있다. 인바운드 로드록으로부터 가공되지 않은 웨이퍼를 집어들 때, 이송 모듈 로봇은 웨이퍼들을 공정 모듈, 즉 공정 모듈 내에서 웨이퍼들을 회전시키고 배치시킴으로써 공정 모듈(303a) 또는 공정 모듈(303b)로 이송시킨다. 도 3a 내지 도 3e에 도시되지 않았지만, 이송 모듈에 연결된 제 3 공정 모듈이 제공될 수 있다. 그 뒤 웨이퍼들은 공정 모듈 내에서 가공된다. 도 3f는 공정 모듈(330a) 내에서 가공되는 웨이퍼들의 연속된 움직임을 도시한다. 먼저, 웨이 퍼(335')는 공정 모듈(330a)의 스테이션(338) 내에 배치되고, 웨이퍼(335")는 공정 모듈(330a)의 스테이션(340) 내에 배치된다. 그 뒤 웨이퍼는 상기 스테이션에서 가공된다. 웨이퍼(335")는 스테이션(340)으로부터 스테이션(344)로 이동되며, 추가 가공 동안 웨이퍼(335')는 스테이션(338)으로부터 스테이션(342')으로 이동된다. 그 위 웨이퍼들은 아웃바운드 로드록 또는 추가 가공을 위해 그 외의 다른 공정 모듈(330b)로 이송을 위하여 이송 모듈 로봇에 의해 집어지는 원래 스테이션으로 복귀된다. 명확함을 위해, 스테이션은 웨이퍼(335', 335")에 의해 점유되지 않을 때 도면에서 '빈상태(empty)'로 도시되며, 작동 중에 모든 스테이션은 일반적으로 웨이퍼가 채워진다. 도 3f에 도시된 순서는 본 명세서에 기술된 장치에 따라 이용될 수 있는 가능한 순서의 실시예이다. 이송 모듈 로봇은 로드록으로 동시 이송을 위해 양 웨이퍼들을 선택한다. 이러한 집기 운동은 동시에 또는 순차적으로 수행될 수 있다. 그 뒤 로봇은 가공된 웨이퍼들을 로드록에 배치시키기 위해 회전한다. 또한, 이러한 운동은 다양한 실시예에 따라서 동시에 또는 순차적으로 수행될 수 있다. 도 3e는 보다 낮은 로드록 도어(120b)를 경유하여 아웃바운드(하부) 로드록(104b) 내에 위치된 가공된 웨이퍼(335', 335")를 도시한다. 배치된 후, 모든 로드록 밸브 또는 도어는 닫히고, 아웃바운드 로드록은 대기 압력으로 통기된다(vent)(가압된다). 여기서 웨이퍼가 냉각될 수 있다. 그 뒤 아웃바운드 로드록의 대기 도어(118b)가 개방되며, 대기 로봇은 가공된 웨이퍼들을 픽업하고, 가공된 웨이퍼들을 FOUP 내의 적합한 위치로 이송한다.
다중 공정 챔퍼를 가진 상기 듀얼 웨이퍼 공정 장치와 여기에서 기술된 방법 은 평행하거나 연속적인 공정을 위해 사용될 수 있다. 평행한 공정의 개요에 있어, 웨이퍼의 설정은 한 처리 공정 모듈에서 처리되고 그 뒤 FOUP로 복귀되며, 그 외 다른 설정의 웨이퍼는 그 외 다른 처리 공정 모듈로 평행하게 처리된다. 연속적인 공정의 개요에 있어, 웨이퍼의 설정은 어느 한 처리 공정 모듈에서 처리되고 그 뒤 대기 조건으로 복귀되기 이전 추가적인 처리 공정을 위한 또 다른 처리 모듈로 이송된다. 혼합된 평행/연속 시퀀스가 또한 가능하며, 즉 2개의 처리 공정 모듈(PM1 및 PM2)이 평행한 처리 공정을 위해 사용되며 그 뒤 이러한 처리 공정 모듈로부터 모든 웨이퍼는 추가적인 처리 공정을 위해 3가지 처리 공정 모듈(PM3)로 이송된다. 게다가 제 1 처리 공정 모듈은 모든 웨이퍼를 처리하고, 상기 모든 웨이퍼는 평행한 처리 공정을 위해 제 2 모듈 또는 제 3 모듈로 보내진다.
일정한 방향의 흐름
특정 실시예에서, 로드록은 단방향 작동 모드로 이용된다. 단방향 흐름도에서 이동되는 인보드 및 아웃보드 로드록, 대기 로봇 및 이송 모듈 로봇의 실례는 하기 테이블 1에 주어진다.
테이블 1: 단방향 유동 작동 시 이동되는 로봇 및 로드록
ATM 로봇 반입 LL (상부) 반출 LL (하부) TM 로봇
FOUP 집기 (1) 통기 (빈상태) TM 로봇 하부 LL 배치(암 2)
상부 LL 배치 (2) ATM 로봇 (2) 통기/냉각 (웨이퍼) PM 집기 (암 2)
하부 LL 집기 펌프다운 (웨이퍼) (3) ATM 로봇 PM 배치 (암 1)
FOUP 배치 TM 로봇 (4) 펌프다운 (빈상태) 상부 LL 집기 (암 1) (4)
FOUP 집기 통기 (빈상태) TM 로봇 하부 LL 배치 (암 2)
상부 LL 배치 ATM 로봇 통기/냉각 (웨이퍼) PM 집기 (암 2) (1')
하부 LL 집기 펌프다운 (웨이퍼) ATM 로봇 PM 배치 (암 1) (5)
FOUP 배치 TM 로봇 펌프다운 (빈상태) 상부 LL 집기 (암 1)
FOUP 집기 통기 (빈상태) TM 로봇 하부 LL 배치 (암 2) (2')
상부 LL 배치 ATM 로봇 통기/냉각 (웨이퍼) (3') PM 집기 (암 2)
하부 LL 집기 (4') 펌프다운 (웨이퍼) ATM 로봇 (4') PM 배치 (암 1)
FOUP 배치 (5') TM 로봇 펌프다운 (빈상태) 상부 LL 집기 (암 1)
테이블 1은 이송 모듈 로봇 핸드-오프 순서가 공정 모듈(웨이퍼 교환) → 반출 로드록(가공된 웨이프를 배치) → 반입 로드록 (가공되지 않은 웨이퍼 집기)인 일련의 단방향 작동 모드의 실시예를 나타낸다. 이는 가능한 순서 중 하나이며, 그 외의 순서가 반입 로드록 이전에 액세스된 반출 로드록을 포함하는, 본 명세서에서 기술된 듀얼 웨이퍼 핸들링 장치에 따라 이용될 수 있다. 특정 실시예에 있어서, 상기 이송 모듈 로봇-핸드오프 시퀀스는 처리 공정 모듈(웨이퍼 교환) → 로드록의 반입(미처리 공정된 웨이퍼의 집기, pick) → 로드록의 반출(처리 공정된 웨이퍼의 배치)이다.
로우(row)는 러프하고 동시에 발생되거나 오버래핑된 작업으로 읽혀질 수 있다. 칼럼(colum)은 로봇 또는 로드록 동작의 일련의 작업을 도시한다. 물론, 임의의 시스템에서, 상기 동작들은 정확하게 오버랩되지 않지만 하나 이상의 모듈이 중 단상태이거나(idle) 또는 개시되거나 또는 추후 마무리될 수 있다. 추가적으로 특정의 작업들은 도시되지 않는다. 로봇들이 회전 병진 운동함에 따라 도시되지 않은 포드, 로드록 및 공정 모듈에 도달해야 한다. 용어 'TM 로봇'과 'ATM 로봇'은 적합한 도어를 개방하고 폐쇄할 뿐만 아니라 로봇 말단 작동기가 웨이퍼들을 집거나 배열하는 로드록의 움직임으로 언급될 수 있다.
FOUP로부터 공정 모듈로 이동되는 한 쌍의 가공되지 않은 웨이퍼들의 경로는 단계 1 내지 5에서 테이블에 도시된다.
1 - ATM 로봇 FOUP 집기(pick)
2 - ATM 로봇 상부 로드록 배치
3 - 상부 LL 펌프다운 (도 3c에 도시됨)
4 - TM 로봇 집기(pick)
5 - TM 로봇 공정 모듈 배치(place)
공정 모듈로부터 FOUP로 이동되는 한 쌍의 가공된 웨이퍼의 경로는 단계 1' 내지 5'에서 테이블에 도시된다.
1' - TM 로봇 공정 모듈 집기(pick)
2' - TM 로봇 하부 LL 배치(place)
3' - 하부 LL 통기/냉각 (도 3e에 도시됨)
4' - ATM 로봇 하부 LL 집기(pick)
5' - ATM 로봇 FOUP 배치(place)
테이블 1에 도시된 바와 같이, 반출 웨이퍼가 대기 로봇으로 핸드 오프(hand off)될 때, 예를 들어 그 뒤 로드록은 펌프다운될 수 있으며, 이는 펌프다운 이후 대기 로봇은 이의 동작을 완료하기 위해 기다릴 필요가 없다. 이는 대기 로봇이 FOUP 또는 그 외의 다른 카세트 내에 가공된 웨이퍼들을 배치시키고 배치를 위한 카세트로부터 로드록으로 2개의 가공되지 않은 웨이퍼들을 얻는 동안 로드록이 아이들상태에 있는(idle) 몇몇 타입의 양방향 작동과 구별된다. 특정 실시예에 따르는 다양한 로봇 및 로드록 동작이 하기에 기술된다.
반입 LL(Incoming LL)
펌프다운 : 상부 로드록 내의 압력이 대기 압력으로부터 사전 결정진 아-대기 압력으로 하강된다. 도 6a 및 도 6b와 관계하여 이하에서 설명되는 바와 같이, 상기 로드록은 지주(pedestal) 주위 좁은 간극을 통하여 가스를 밀어넣음으로써 펌프 다운된다. 상기 간극(gap)은 지주 이하에서 보다 큰 횡 단면 링으로 펌프되고 그 뒤 측부로부터 펌프 아웃(pumped out)된다. 이는 웨이퍼까지 미립자(particles)를 끌어당김을 회피하기 위하여 상기 웨이퍼로부터 하부 방향 및 외부 방향으로(상기 웨이퍼 중앙으로부터 방사상의 흐름) 상기 흐름을 유지한다. 이러한 펌프다운 작업이 신속하게 수행된다.
통기: 상부 로드록이 아-대기압력으로부터 대기 압력으로 통기된다(vent). 어떠한 웨이퍼도 존재하지 않는다. 상부 로드록은 도 6a에 따라 하기 기술된 바와 같이 신속히 통기될 수 있다. 펌프 다운 동작과 유사하게 통기 동작은 상당히 신속히 수행된다.
반입 LL 움직임 타이밍의 실례(secs):
개방/폐쇄 VAT 밸브(대기 환경에 대한 밸브):0.5
개방/폐쇄 슬립 밸브(이송 모듈에 대한 밸브):0.5
폐쇄된 슬릿 밸브, 통기 대조, 대기에서 대조: 여러 초(several seconds)
페쇄된 VAT 도어 대조, 펌프다운 및 이송 모듈 압력 매치:여러 초
반출 LL(Outgoing LL)
통기/냉각 : 하부 로드록은 아-대기 압력으로부터 대기 압력으로 통기된다. 헬륨 및/또는 질소와 같은 가스를 챔버 내로 유동시킴으로써 통기가 수행된다. 상기 헬륨은 상기 웨이퍼 상부로 8인치 직경으로 환형 간극을 통하여 유입된다. 흐름은 상기 웨이퍼까지 미립자의 끌어당김을 회피하기 위하여 상기 웨이퍼 넘어 방사상의 외부 방향 및 위 아래(top-down)로 형성된다. 상기 웨이퍼들이 가공 공정으로부터 냉각되어질 필요가 있는 하부 로드록으로 반입된다. 한 실시예에서, 우선적으로 열 전달 가스와 같은 헬륨은 챔버 내로 통기되어 중간 압력이 형성된다. 그 뒤 가스 흐름은 웨이퍼가 냉각되는 동안 중단된다. 그 뒤 질소가 대기 압력까지의 압력을 얻기 위해 유동된다.
펌프다운: 대기 압력으로부터 사전-정해진 아-대기 압력으로 펌프다운한다. 챔버들은 비워진 상태로 유지된다.
반출 LL 움직임 타이밍의 실례(secs):
개방/폐쇄 VAT 밸브(대기 환경에 대한 밸브):0.5
개방/폐쇄 슬릿 밸브(이송 모듈에 대한 밸브):0.5
폐쇄된 슬릿 대조, 헬륨 통기, 대기에서 대조: 여러 초
폐쇄된 VAT 도어 대조, 펌프다운 및 이송 모듈 압력 매치: 여러 초
ATM 로봇
FOUP 집기: 대기 로봇은 FOUP 또는 그 외의 다른 카세트로부터 적재되고 2개의 가공되지 않은 웨이퍼를 집는다. 한 실시예에서, 말단 작동기들은 그 외의 다른 것 위에 적재되고, 동시에 적재된 웨이퍼들을 집는다. 웨이퍼를 집은 이후, 말단 작동기들은 서로에 대해 회전하고, 암은 상부 로드록 내에 웨이퍼들을 배치시키기 위해 회전한다(도 3b에 도시됨, 상기 도 3b는 2개의 웨치퍼들을 상부 로드록으로 배치시킬 준비를 하기 위해 2개의 웨이퍼들을 고정하는 단일의 암을 가진 듀얼 말단 작동기 로봇을 도시함).
상부 LL 배치: 대기 로봇이 웨이퍼를 상부 로드록 챔버로 배치시킨다. 특정 실시예에서, 제 1의 말단 작동기는 상부 로드록의 챔버로 신장되고, 웨이퍼를 선반(shelf)으로 하강시킨다. 그 뒤 말단 작동기는 로드록으로부터 후퇴되고, 제 2 말단 작동기는 상부 로드록의 그 외의 다른 챔버로 신장되고 웨이퍼를 선반 위로 하강시킨다. 이와 같이 상기 로봇은 어떤 순서이든 간에 연속적으로 웨이퍼를 좌측 및 우측으로 배치시킨다.
하부 LL 집기(pick): 대기 로봇은 하부 로드록 챔버로부터 웨이퍼들을 집는다(pick). 어느 일 실시예에 있어 우선, 하나의 말단 작동기(effector)는 하부 로드록의 챔버로 전개되고 상기 지주(pedestal)로 부터 상기 웨이퍼를 집는다. 그 뒤 말단 작동기는 로드록으로부터 후퇴되며, 제 2 말단 작동기는 하부 로드록의 그 외의 다른 챔버로 전개되며, 상기 지주(pedestal)로부터 웨이퍼를 집는다. 이와 같이 상기 로봇은 어떤 순서이든 간에 연속적으로 웨이퍼를 좌측 및 우측을 집는다. 특정 실시예에서, 로봇은 집는 동작 동안 웨이퍼의 위치를 보정하기 위하여 하부 로드록 내에서 각각의 웨이퍼의 배치에 대한 정보를 이용한다. 그 뒤 대기 로봇 암은 FOUP 내에 웨이퍼들을 배치시키기 위해 회전한다.
FOUP 배치(place): 대기 로봇은 웨이퍼들을 FOUP 내의 적재된 위치로 배치시킨다. 한 실시예에서, 웨이퍼들은 동시에 배치된다.
ATM 로봇 움직임 타이밍의 실례(secs):
반입 LL로부터 LL 반출 이동(goto):0.5
반출 LL로부터 웨이퍼 얻음:5.9
반출 LL로부터 카세트로 이동(goto):1
카세트로 웨이퍼 놓기:3
카세트로부터 "얻음(get)"을 위한 Z-방향으로 후퇴 및 움직임:0.3
카세트로부터 웨이퍼 얻음:2.5
카세트로부터 반입 LL로 이동(goto):1.3
반입 LL로 웨이퍼 놓기:6.5
이송 모듈 로봇
상부 LL 집기: 이송 모듈 로봇은 하나의 듀얼 말단 작동기 암을 상부 로드록 으로 신장시키며, 선반으로부터 말단 작동기로 웨이퍼들을 들어올린다. 특정 실시예에서, 하나의 암이 로드록으로 신장됨에 따라 그 외의 다른 암은 후퇴된 위치로 이동된다. 도 3g는 신장된(예를 들어 집기 또는 배치 동착을 위한 로드록 또는 공정 모듈로) 하나의 암과 후퇴된 하나의 암을 포함한 듀얼 암 듈얼 말단 작동기 로봇을 도시한다. 테이블 1에 도시된 바와 같이, 하나의 암은 상부 로드록으로부터 가공되지 않은 웨이퍼들을 획득하고 공정 모듈 내에 상기 가공되지 않은 웨이퍼들을 배치시키는 단계를 수행하며, 그 외의 다른 암은 공정 모듈로부터 가공된 웨이퍼들을 획득하고 하부 로드록 내에 상기 웨이퍼들을 배치시키는 단계를 수행한다(암 2). 그 외의 다른 실시예에서, 양 암들은 가공되고 가공되지 않은 웨이퍼에 대해 이용될 수 있다. 테이블 1에 도시된 바와 같이, 상부 로드록이 집기 동작 이후, 암(1)은 후퇴되고, 암(2)은 가공된 웨이퍼들을 배치시키기 위해 하부 로드록으로 신장된다.
하부 LL 배치: 이송 모듈 로봇은 각각의 말단 작동기 상에 가공된 웨이퍼를 가진 암(2)을 하부 로드록으로 신장시키며 상기 웨이퍼들을 배치시킨다. 특정 실시예에서 상기 동작은 동시에 수행된다. 각각의 웨이퍼 로드록의 위치 정보는 측정될 수 있으며, 웨이퍼들을 집을 때 대기 로봇에 의해 이용을 위하여 저장될 수 있다. 그 뒤 로봇은 공정 모듈 집기 동작을 위해 위치된다.
공정 모듈 집기: 이송 모듈 로봇은 암(2)을 공정 모듈로 신장시키며, 2개의 가공된 웨이퍼들을 집는다. 특정 실시예에서 이러한 동작들은 동시에 수행된다. 테이블 1에 도시된 바와 같이, 공정 모듈 집기 동작 이후, 이송 모듈 로봇은 가공되 지 않은 웨이퍼를 공정 모듈로 배치시킨다.
공정 모듈 배치(Process Module Place): 이송 모듈 로봇은 2개의 가공되지 않은 웨이퍼를 가진 암(1)을 공정 모듈로 신장시키며, 상기 웨이프들을 스테이션으로 하강시키거나 또는 말단 작동기로부터 웨이퍼를 들어올리는 스테이션 내의 웨이퍼 지지부에 의해 도 4에 도시된 스테이션으로 상기 가공되지 않은 웨이퍼들을 배치시킨다. 특정 실시예에서, 배치 동작들은 각각의 배치 동작에서 위치 보정이 수행되도록 순차적으로 수행된다.
다양한 이송 모듈 로봇 움직임 타이밍의 실례(secs):
반출 LL으로부터 반입 LL로의 이동(goto):1.2
LL로부터 챔버(1)(처리 공정 모듈)의 이동 및 LL(90°)이동(goto):1.8
LL로부터 챔버(2)의 이동 및 LL(180°)의 이동(goto):2.8
반입 LL"얻음"(집기,pick):4.3
반출 LL"놓기"(배치,place):4.3
웨이퍼 교환(처리 공정 모듈 또는 챔버에서 미처리 공정된 웨이퍼에 대한 처리 공정된 웨이퍼):8.5
도 1 내지 도 3 및 이에 따른 기술 내용은 본 명세서에서 언급된 듀얼 웨이퍼 공정 장치의 광범위한 개관을 제공한다. 다양한 실시예에 따르는 이송 방법의 세부 사항들은 생략되며, 웨이퍼 집기 그리고 배치 동작(wafer pick and place moves), 웨이퍼 정렬, 가압 및 감압 사이클, 등등을 포함한 하기에서 추가적으로 언급된다. 다양한 실시예에 따르는 장치의 추가 세부사항은 하기에서 언급된다.
적재 로드록
어느 일 실시예에 있어서 적재 독립 로드록이 제공된다. 상기 적재 독립 로드록은 설명된 듀얼 웨이퍼 핸들링 시스템에서 사용될 수 있다. 다중 로드록을 가진 단일 웨이퍼 핸들러는 상기 로드록 상부 및 하부 공간을 유틸리티 및 메카니즘에 대해 사용되도록 나란하게 로드록을 배치할 수 있다. 듀얼 웨이퍼 핸들러는 다중 선반을 가진 어느 한 로드록을 사용한다. 이는 벤팅(venting), 냉각(cooling), 펌핑(pumping) 작용으로써 상기 시스템의 처리 속도를 제한하고 로봇 교환이 들어오고 나가는 모든 웨이퍼에 대해 연속하여 발생되어야 한다. 시스템 전체 로드록은 상기 로드록이 다음 작업으로 이동할 수 있기 이전에 진공 상태 및 대기 상태 모두에서 다중 웨이퍼 교환을 기다려야 한다. 예를 들어, 통기/냉각 작용 이후 아웃바운드 웨이퍼를 가지고, 다중 선반들을 가진 단일 로드록을 사용하며,
1. 대기 도어가 개방되고,
2. 대기 로봇은 로드록으로부터 2개의 아웃바운드 웨이퍼를 선택하며,
3. 대기 로봇은 아웃바운드 웨이퍼를 저장 카세트로 이동시키고,
4. 대기 로봇은 저장 카세트 내에서 아웃바운드 웨이퍼를 배치하며,
5. 대기 로봇은 저장 카세트로부터 2개의 인바운드 웨이퍼를 선택하고,
6. 대기 로봇은 인바운드 웨이퍼를 로드록으로 이동시키고,
7. 대기 로봇은 로드록 내 인바운드 웨이퍼를 배치하며,
8. 대기 도어가 폐쇄되고 펌프다운된다.
상기 시퀀스(sequence)의 진행 중, 상기 로드록은 작동하지 않고 위치되며 반면 상기 대기 로봇은 상기 웨이퍼 이송 단계 2-7의 과정을 수행한다. 또한, 상기 로드록은 상기 진공 측부에 대한 웨이퍼 교환 중 작동하지 않고 위치되어야 한다. 또한, 다중 선반 로드록은 펌프다운 및 통기/냉각(vent/cool) 중 교차오염(cross-contamination)으로 반입 및 반출 웨이퍼를 노출한다. 다소의 로드록 디자인은 복잡성을 추가하여, 인덱서(indexers)가 웨이퍼를 위 아래로 이동시키도록 한다.
일 실시예에 따라 상기 웨이퍼 핸들링 장치는 적재 독립 로드록(stacked independent loadlocks)을 포함한다. 도 3a 내지 도 3e 내 로드록(104a 및 104b)은 적재 독립 로드록이다. 한 독립 로드록을 또 다른 독립 로드록 상단에 독립 로드록을 적재시킴으로써, 다양한 작업이 평행하게 수행되고 처리 속도(throughput)가 증가되도록 상기 시스템 작업(가령, 펌프다운, 통기/냉각, 웨이퍼 교환)을 완화시킨다.
종래 로드록이 상기 로드록 챔버의 상부 및 하부에서 유틸리티 및 메카니즘을 가지기 때문에, 큰 수직 공간이 종래 독립 로드록을 적재하기 위해 필요하다. 이는 큰 z-방향 이송 모듈 로봇을 필요로하고 뿐만 아니라 체적이 큰 이송 모듈 및 로드록을 필요로 한다. 상기 적재 독립 로드록은 조밀하게 분리된 보다 높은 로드록 및 낮은 로드록을 디자인하고 펌프다운 및 벤팅(venting)을 위해 형성된다. 다양한 실시예에 있어서, 상기 적재 독립 로드록은 각각의 로드록 핸드오프 평면(loadlock handoff plane)으로부터 작은 거리(예를 들어, 대략 65mm)를 가진다. 이는 이송 모듈 로봇 암(transfer module robot arm) (또는 암이 2개인 경우 이송 모듈 로봇 암 2개 모두)은 보다 높은 로드록 및 낮은 로드록에 이르도록 형성한다.
다양한 실시예에 있어서, 본 명세서에서 설명된 상기 적재 로드록 조립체는 하나 이상의 다음과 같은 특징을 가진다.
듀얼 웨이퍼 커패시티(Dual wafer capacity): 상기 로드록은 듀얼 웨이퍼(나란하게) 커패시티를 고정할 수 있다. 이와 같이 듀얼 처리 속도가 중요하므로, 2개의 웨이퍼는 상기 웨이퍼 핸들링 및 처리 공정을 나란하게 수행한다(도 3a 내지 도 3f참조).
독립 사이클 적재 로드록(Independent cycled stacked loadlock): 보다 높은 로드록 및 낮은 로드록은 서로 분리되며 필요에 따라 독립적으로 사이클을 형성한다(예를 들어, 보다 높은 로드록은 진공 조건에 있으며 반면 낮은 로드록은 대기에 존재한다).
조밀한 디자인(Compact design): 상기 로드록 조립체는 종래 다중 로드록 시스템과 비교하여 높이가 감소되며 조밀하게 디자인된다. 추가적으로, 큰 z 방향으로 자유단을 가진 로봇을 제거하며 상기 핸드오프 평면(hand-off planes) 사이의 거리는 작게 형성된다. 또한, 챔버 체적은 작은 펌프가 사용될 수 있도록 하기 위하여 작게 형성될 수 있다. 예를 들어, 로드록의 양 챔버가 조합될 수 있으며, 보다 높은 로드록 챔버 및 낮은 로드록 챔버는 대략 6.0 내지 10 L가 될 수 있다. 일 실시예에 있어서, 보다 높은 로드록 체적은 6.5L 이고 낮은 로드록 체적은 대략 7.3 L이다.
단일 중앙 플레이트(Single center plate): 상기 적재 챔버는 단일 중앙 플레이트에 의해 분리된다. 듀얼 워터 커패시티 로드록에 있어서, 좌측의 상기 보다 높은 로드록 챔버 및 낮은 로드록 챔버는 단일 플레이트에 의해 분리되고, 우측의 상기 보다 높은 로드록 챔버 및 낮은 로드록 챔버가 이와 같이 단일 플레이트에 의해 분리된다. 어느 일 실시예에 있어서, 상기 챔버를 분리시키는 것에 추가하여, 상기 단일 중앙 플레이트는 방사상의 펌프 작용(pumping) 및 통기 작용(venting)을 위한 고리(annuluses)를 제공하는 기능을 추가적으로 가질 수 있다.
일정한 방향으로 최적화된 흐름(Optimized for unidirectional flow): 일정한 방향을 가지는 로드록 핸들 웨이퍼는 인바운드(대기 환경에서 이송 모듈 방향으로)이거나 아웃바운드(이송 모듈에서 대기 환경방향으로)이든지 오직 어느 한 방향으로 이송된다. 상기 인바운드 로드록의 기계적인 디자인은 펌프다운(pumpdowns)에 대해 최적화되고 상기 아웃바운드 로드록의 기계적인 디자인은 통기(venting) 및 냉각(cooling) 작용에 대해 최적화된다. 어느 일 실시예에 있어서, 상기 보다 높은 로드록은 인바운드 웨이퍼에 대해 최적화되고 낮은 챔버는 아웃바운드 웨이퍼에 대해 최적화된다.
방사상의 펌프 작용 및/또는 통기 작용(Radial pumping and/or venting): 상기 로드록은 입자의 오염을 감소시키기 위하여 방사상의 펌프 작용 및/또는 통기 작용을 적용한다. 어느 일 실시예에 있어서, 상기 인바운드 로드록 펌프 흐름 벡터(inbound loadlock pump flow vectors)는 상기 웨이퍼의 중앙으로부터 방사상이고 일정하게 방출된다. 유사하게, 상기 아웃바운드 로드록 통기 흐름 벡터(outbound loadlock vent flow vectors)는 상기 웨이퍼 중앙으로부터 방사상이고 일정하게 방출한다. 상기 흐름이 상기 웨이퍼 중앙으로부터 방출하기 때문에, 이물 질은 상기 로드록 챔버의 또 다른 영역으로부터 상기 웨이퍼로 이동될 수 없다. 일정한 방향의 흐름을 위해 사용되는 경우, 상기 웨이퍼 입자의 오염은 상기 인바운드 로드록의 펌프다운(pumpdown) 및 상기 아웃바운드 로드록의 통기 작용(venting) 중에 고려된다. 어느 일 실시예에 있어서, 상기 로드록 조립체는 상기 펌프 흐름(pumping flow) 또는 통기 작용 흐름(venting flow)에 의해 방사상의 펌프 작용 또는 통기 작용을 촉진하기 위한 환형 오목부를 가진다.
도 4a 및 도 4b는 적재 독립 로드록을 가지는 로드록 조립체의 실례를 도시한다. 도 4a 및 도 4b에서, 상기 로드록 조립체의 상기 이송 모듈 측부는 전방을 향한다. 전술된 바와 같이, 각각의 로드록은 2개의 연결된 챔버를 가진다. 리드(114)는 상기 상부 로드록의 어느 한 챔버를 각각 덮는다. 슬릿 밸브(120)는 상기 로드록으로부터 상기 로드록의 좌측 측부 상에서 상기 이송 모듈로 액세스를 허용하는 밸브를 나타낸다. 상기 우측 밸브는 상기 하우징(450) 내 로드록 조립 개구부(452) 및 상기 하우징(450)의 측면(view)을 제공하기 위한 도면에서 도시되지 않는다. 어느 일 실시예에 있어서, 상기 슬릿 밸브는 독립적으로 조절되지만, 공기 작용으로 함께 단단히 연결된다. 격리 매니폴드(isolation manifold, 454)는 상기 로드록 펌프로 안내되고 균등함(equalization) 및 펌프다운 작업을 위해 사용된다. 측부 포트(456)는 상기 로드록의 내부 측면을 허용한다. 하부 로드록 리프트 메카니즘(458)은 로봇 말단 작동기 간극으로 웨이퍼를 집어 올리고 배치하기 위하여 상기 냉각 플레이트로부터 상기 웨이퍼를 올리고 낮추기 위해 사용된다. 이는 단부 작동기를 위해 큰 간격 절단(large clearnces cut)없이 냉각 플레이트를 허용한다.
전체 적재 독립 로드록 조립체는 챔버에 대해 대략 5인치의 높이를 가지고, 설명된 실시예보다 큰 밸브 작동기를 가지며 조밀하고 개구부(452)는 큰 z-방향 자유단을 가지는 로봇이 필요하지 않도록 충분히 폐쇄된다. 웨이퍼 핸드-오프 평면(wafer hand-off plane)은 상기 로봇이 상기 기판을 상기 로드록으로부터 또는 상기 로드록으로 집거나 또는 배치시키는 평면이다. 상부 및 하부 핸드-오프 평면 사이 거리는 상부 및 하부 로드록으로부터 또는 상기 상부 및 하부 로드록으로 웨이퍼를 이송하는 로봇 암이 가지는 최소한의 수직 자유도를 형성하기 때문에 중요하다.
도 5a는 특정한 실시예에 따라 적재 로드록 조립체의 전방을 도시한다. 상부 로드록은 2개의 챔버(502a 및 502b)를 가지고 상기 하부 로드록은 2개의 챔버(504a 및 504b)를 가진다. 로드록 하우징(505)은 프레임 또는 지지부를 로드록의 플로어 및 천장(ceilings)을 형성하는 플레이트에 대해 제공한다. 또한 상기 하우징은 웨이퍼 유출구 및 유입구에 대한 개구부를 가진다. 도 5a에서 설명된 실시예에 있어서, 상기 하우징은 상부 및 하부 로드록 모두에 대한 측부 벽을 형성하고 양 로드록에 대한 통기 및 펌프 채널을 포함한다. 상기 하우징은 단일 피스 또는 다중 피스가 될 수 있다. 상기 상부 로드록 챔버(502a)는 중앙 플레이트(506a)에 의해 상기 하부 로드록 챔버(504a)로부터 분리되고, 로드록 챔버(502b)는 중앙 플레이트(506b)에 의해 상기 하부 로드록 챔버(504b)로부터 분리된다. 상기 상부 및 하부 진공 챔버를 분리함에 추가하여, 상기 중앙 플레이트는 양 방향으로 사이클링을 가지는 양 측부 상에 진공 및 대기 압력에 대하여 설계된다. 도 5a에서 설명된 실시 예에 있어서, 상기 적재 로드록은 쌍으로 구성된 각각의 적재 챔버을 분리하는 단일 중앙 플레이트를 가진다(즉, 어느 한 중앙 플레이트는 우측에 상부 및 하부 챔버를 분리하고 또다른 중앙 플레이트는 좌측면에 상부 및 하부 챔버를 분리한다). 상부 및 하부 챔버를 분리함에 추가하여, 상기 중앙 플레이트는 상부 로드록에 대해 웨이퍼 지주이다. 도 5b는 상부 플레이트(514), 중앙 플레이트(506), 하부 플레이트(516) 및 하우징(505)의 투시도이다. 상기 단일 중앙 플레이트의 사용은 도 5a에서 설명된 실시예에 있어 상기 웨이퍼 핸드-오프 평면을 작게 형성되게 하며 핸드-오프 평면 사이 거리는 대략 65mm이다.
도 5a에서 설명된 실시예에 있어서, 상기 중앙 플레이트는 하기에서 설명되는 바와 같이 펌프 및 통기를 허용하기 위하여 형성된 단일의 일체로 형성된 제거 가능한 플레이트이며, 그러나 그 외 다른 실시예에 있어서, 다중의 얇은 플레이트는 상기 상부 및 하부 로드록을 격리시키기 위하여 사용될 수 있다. 상부 플레이트 또는 리드(514a 및 514b)는 상기 상부 챔버를 덮으며, 최저부 플레이트(515a 및 515b)는 상기 하부 챔버의 플로어를 형성한다. 또한, 최저부 플레이트(515a 및 515b)는 냉각 메카니즘을 가질 수 있다. 상부 챔버(502a 및 502b)는 하부 챔버(504a 및 504b)와 유체로 소통된다.
채널(508a 및 508b)은 상기 상부 로드록 챔버에 대한 통기 채널이다. 가스가 유입구(inlet, 512)를 통하여 도입되고 이러한 채널을 통하여 상부 로드록 챔버로 통기된다. 채널(510 및 510b)은 상기 상부 로드록 챔버에 대한 펌프다운 채널이다. 가스는 상기 로드록 진공 펌프 또는 펌프들(도시되지 않음)에 의해 펌프되고 매니 폴드(514)를 통하여 유출구(516)로 나간다. 특정한 실시예에 따르는 펌프 및 통기 디자인은 추가적으로 하기에서 설명된다. 상기 하부 로드록에 대한 상기 펌프 및 통기 채널은 상기 상부 로드록 채널 후방에 위치하고 도 5a에서 도시되지 않지만, 하기에서 추가적으로 설명된다. 또한 도 5a는 리프트 메카니즘(lift mechanisms, 518) 및 진공 슬릿 밸브 하우징(520)을 도시한다.
전술된 바와 같이, 상기 적재 로드록 조립체는 정밀하다. 상기 조립체의 크기는 다음과 같이 하나 이상의 특징부로 특징될 수 있으며, 상기 특징은 높이(하부 로드록 플레이트의 최저부로부터 상부 로드록 플레이트의 상단까지), 상부 및 하부 로드록 웨이퍼 핸드-오프 평면 사이 거리, 상부 및 하부 로드록 개구부 사이 중앙 대 중앙 거리, 챔버 체적, 좌측 및 우측 챔버 사이 중앙 대 중앙 거리, 플레이트 직경 보어 및 챔버의 전체 깊이이다. 도 5a에서 설명된 실시예에 있어서, 상기 직경은 다음과 같다.
높이: 6.2 인치
상부 및 하부 로드록 핸드-오프 평면 사이의 거리: 65mm
상부 및 하부 로드록 개구부 사이의 중앙 대 중앙 거리: 2.4인치
챔버 체적: 6.5 리터 상부 로드록(양 챔버), 7.3 리터 하부 로드록
좌측 및 우측 챔버 사이 중앙 대 중앙 거리: 19인치
모든 플레이트에 대한 직경 보어: 13.2인치
챔버의 전체 깊이: 14.75인치
다양한 실시예에 있어서, 상기 치수는 다음과 같은 범위에 있다.
높이: 대략 4 내지 10 인치
상부 및 하부 로드록 핸드-오프 평면 사이 거리: 대략 30 mm 내지 100 mm
상부 및 하부 로드록 개구부 사이 중앙 대 중앙 거리: 대략 30mm 내지 100mm
챔버 체적: 대략 3.0리터 내지 20.0리터
좌측 및 우측 챔버 사이 중앙 대 중앙 거리: 대략 12 내지 30 인치
모든 플레이트에 대한 직경 보어: 대략 12 내지 15 인치
챔버의 전체 깊이: 대략 12 내지 20 인치
어느 일 실시예에 있어서, 하나 이상의 이중 웨이퍼 로드록은 움직이는 부분을 가지지 않는다. 예를 들어, 어느 일 실시예에 있어서 상기 반입 또는 상부 로드록은 선반 이하 상기 말단 작동기에 대한 간극으로, 로봇이 웨이퍼를 설정하기 위한 선반을 제외하고 움직이는 부분을 가지지 않는다. 도 5a에서 설명된 실시예에 있어서, 상기 하부 로드록은 리프트 메카니즘을 가지고, 상기 리프트 메카니즈은 보다 나은 냉각 수행을 허용한다. 그러나, 다양한 실시예에 따라, 반출 로드록을 통하여 움직이기 이전에 또는 이후에 냉각이 상기 로드록의 외측부에서 수행되는 경우 또는 냉각이 필요하지 않는 경우, 상기 반출 로드록은 움직이는 부분이 필요하지 않다.
어느 일 실시예에 있어서, 하나 또는 양 로드록의 상기 웨이퍼 지지부는 한 쌍의 선반이다. 대부분의 웨이퍼 아래 공간은 로봇 암치 상기 웨이퍼를 집거나 또는 배치하기 위하여 슬라이드 하부에 허용하는 공간이다. 상기 하부 로드 록 내 상기 리프트 메카니즘은 상기 웨이퍼로 작은 간극을 가진 냉각 플레이트 상에서 상기 웨이퍼를 배치하도록 허용하는 반면, 로봇 간극에 대한 상기 선반을 형성한다.
방사상의 일정한 상단-하부 흐름에 대한 환형 디자인
로드록 상부 및 하부 공간은 종래 로드록 시스템 내 메카니즘 및 유틸리티에 대해 일반적으로 사용되며, 다중 독립 로드록은 나란하게 배치될 수 있으며 또는 상기 웨이퍼를 집어 올리고 배치하기 위한 큰 z-방향 움직임을 가지는 로봇(또는 수직으로 이동되는 로드록)을 필요로 한다. 이는 로봇 및 이송 모듈 로봇에 대해 디자인되며 특히, 큰 z-방향 자유단을 가질 필요는 없다.
각각의 로드록은 펌프 다운 작용(상기 이송 모듈에 대한 개구부 이전 압력을 낮추기 위하여) 및 통기 작용(상기 대기 환경에 대한 개구부 이전 압력을 높이기 위해)에 대한 메카니즘이 필요하다.
신속한 펌프다운은 상기 로드록 챔버를 통하여 높은 속도의 소용돌이 흐름을 형성할 수 있다. 흐름 벡터가 주의 깊게 처리되지 않으면, 이물질이 펌프다운 중에 웨이퍼 표면으로 이동될 수 있다. 유사하게, 통기 작용은 상기 웨이퍼 표면으로 미립자를 이동시킬 수 있는 높은 속도의 소용돌이 흐름을 형성할 수 있다. 종래 로드록은 때때로 상기 로드록 챔버를 통기하기 위하여 중앙 통기 포트 및/또는 상기 로드록 챔버를 펌프 다운 하기 위한 중앙 펌프 포트(center pumping port)를 가진다. 또한 종래 로드록은 상기 챔버 상에서 소결된(sintered) 재료로 제조된 통기 확산기를 사용할 수 있다.
다양한 실시예에 있어서, 여기에서 설명된 로드록 통기 포트 및 펌프 포트와 조밀한 디자인을 허용하는 흐름 채널을 각각 가진다. 주목하여, 다양한 실시예에 따라, 상기 디자인은 방사상의 흐름을 보장하기 위하여 중앙 펌프/통기 포트를 필요로하지 않는다. 다양한 실시예에 있어서, 상기 로드록 조립체는 통기 동안에 일정한 방사상의 상단-하부 흐름을 제공하기 위한 통기 환형 고리(venting annuluses) 및/또는 펌프 다운 중에 일정한 방사상의 상단-하부 흐름을 위한 펌프 환형 고리(pumping annuluses)를 가진다. 상기 흐름 벡터는 상기 흐름이 상기 웨이퍼의 중앙으로부터 일정하게 발산하도록 처리된다. 또한 흐름은 어떤 미립자가 펌프다운 중에 상기 챔버의 외부 및 하부에 운반되도록 상단 하부 흐름이 된다. 도 6a 내지 도 7b는 도 4a 내지 도 5a에서 도시되는 바와 같이 적재 로드록 조립체에 대한 펌프 및 통기 디자인을 도시하고, 도 6a 및 도 6b는 상기 하부 로드록에 대한 펌프 및 통기 디자인을 설계하며, 도 7a 및 도 7b는 상기 하부 로드록에 대한 펌프 및 통기 디자인을 도시한다.
도 6a 및 도 6b는 로드록에 대한 펌프 환형 고리 디자인을 설명한다. 도 6a 및 도 6b에서 설명된 실시예에 있어서, 상기 펌프 환형 고리 디자인은 상부 로드록에 대한 것이다. 전술된 바와 같이, 상부 로드록 챔버(602)는 중앙 플레이트(606)에 의해 하부 로드록 챔버(604)로부터 분리된다. 펌프 다운 중에, 가스는 상기 웨이퍼 위치의 외측부 변부 주위에서(중앙 플레이트(606) 및 상기 로드록 하우징(605) 사이의 상기 경우에 있어) 환형 고리 간극(664)(환형 고리 채널로써 언급됨)을 통하여 펌프된다. 상기 간극 이하에서 환형 고리 챔버(660) 및 유출 포트(610)가 또한 도시되며, 상기 환형 고리 챔버(660)는 상기 챔버 주위에서 전개된다. 상기 환형 고리 챔버는 상기 중앙 플레이트(606) 내 환형 고리 오목부(recess) 에 의해 형성된다. 출구 포트(610)는 상기 적재 조립체 이하에서 매니폴드로 안내된다. 상기 펌프 환형 고리 및 출구 포트로 안내되는 상기 로드록 하우징(605) 및 중앙 플레이트(606) 사이 간극(664)은 조밀하다. 상기 조밀한 간극을 통한 펌프 작용에 의해, 흐름 컨덕턴스(flow conductance)는 상기 웨이퍼 주위에서 모든 방사상의 지점에서 균등한 펌프 작용을 강제하기 위해 막힌다(choked). 상기 점선 화살표는 상기 환형 고리로 상기 웨이퍼의 중앙으로부터 그 뒤 상기 출구 포트 하부로 방사상의 외부 방향으로 전개되는 흐름 경로를 도시한다.(도 7a 및 도 7b 이하에서 논의된 상기 하부 로드록 흐름 채널이 도 6a에서 보이지 않는다)
도 6b는 상부 로드록 챔버(602), 중앙 플레이트(606), 환형 고리 간극 또는 채널(664) 및 환형 고리 챔버(660)를 포함하며, 환형 고리 챔버 및 환형 고리 간극을 펌프 형성하는 도면의 확대도를 도시한다. O-링(676)이 또한 도시된다. 상기 환형 고리 간극의 높이 및 깊이는 상기 환형 고리 주위에서 일정하고 최적화된다. 상기 환형 고리 간극의 정밀한 치수 형상은 흐름 비율, 챔버 체적, 챔버 직경 등을 포함하는 요인에 의존한다. 도 6b에서 설명된 실시예에 있어서, 상기 폭은 대략 0.03인치이고 상기 높이는 대략 0.25인치이다. 상기 흐름을 막기 위한 상기 조밀한 환형 고리 간극의 사용은 상기 흐름을 방사상이 되도록 강제한다. 상기 간극 이하 상기 환형 고리 챔버는 일정하고 균등한 흐름을 유지하기 위한 버퍼(buffer)를 제공한다. 특별한 실시예에 있어서, 상기 환형 고리 간극은 상기 웨이퍼 표면 이하에서 형성되고 이에 따라 상기 펌프 다운 흐름은 미립자 제어를 보강하기 위하여 상단에서 최저부로 형성된다.
상기 조밀한 환형 고리 간극의 폭은 상기 흐름을 일정하고 방사상의 흐름이 되도록 강제하기에 충분하게 작게 형성되고, 반면 제조 공차(manufacturing tolerances) 이내가 되도록 상기 간극을 지나 압력 강하를 유지한다. 상기 간극이 너무 크게 될 경우, 모든 가스는 상기 펌프 포트에 대해 최대로 가깝게 상기 측부 상에 하부로 흐른다. 가령, 5 내지 10 밀리의 수준인, 매우 작은 간극은 유지하기 어려울 수 있는 압력 강하를 발생시킬 수 있다. 어느 일 실시예에 있어서, 상기 간극은 완전하게 일정하게 되기에 충분하게 작지 않은 경우, 미립자 위험을 감소하거나 또는 최소로하며 어느 장소에서건 흐름이 방사상으로 흐르고 이동되도록 하기 위해 크기가 형성된다.
도 6a에 있어서, 상부 플레이트(614) 내 환형 고리 챔버(668)는 상부 로드록을 통기하기 위해 사용되며, 유입구 포트(608)로부터 나오는 가스는 환형 고리 챔버(668)으로부터 상기 환형 고리 간극(674)를 통하여 상기 상부 로드록 챔버(602)를 향하여 흐른다. 상기 환형 고리 챔버 및 환형 고리 간극은 방사상의 통기 작용을 촉진한다. 상기 환형 고리 간극은 상기 흐름을 막으며, 가스가 상기 환형 고리 챔버를 통해 이동시키도록 하고 방사상으로 상기 챔버로 통기되도록 야기한다. 인바운드(inbound)의 로드록(일정한 방향으로 흐르는 흐름에 대해 사용됨에 따라)에 있어서, 상기 ATM 도어가 개방될 때 웨이퍼 증기로 일정한 흐름 커텐(uniform flow curtain)을 제공하는 것이 유리할 수 있다 하더라도, 상기 통기 작용 중에 로드록 내에 웨이퍼가 없는 방사상의 펌프 작용과 같이 방사상의 통기 작용은 중대하지 않다. 통기 중에, 반입 로드록 내에 웨이퍼가 존재하지 않으므로 흐름 제어가 덜 필 요하다. 그러나, 상기 반입 로드록 ATM 도어가 개방될 때, 가스 흐름은 공기가 들어오는 것으로부터 방지하기 위하여 상기 도어(door)에서 커텐을 형성하는 상기 로드록으로 작용한다(turned on). 미니 환경 내 공기는 상대적으로 청결하지만, 상기 로드록(펌프다운 중), 이송 모듈 및 처리 공정 챔버 내에서 바람직하지 않을 수 있는 산소, 워터(water) 및 그 외 다른 구성 물질을 포함한다. 니트로젠 또는 아르곤과 같은 청결 불활설 가스의 커텐을 제공함으로써, 원하지 않는 대다수의 가스가 상기 로드록으로 유입됨을 방지한다. 상기 ATM 로봇이 상기 로드록 내 웨이퍼를 배치할 때 상기 커텐을 관통하기 때문에, 기술된 바와 같은 상기 커텐(curtain)에 대한 상기 흐름 벡터(flow vectors)의 처리는 가스 흐름 제트(jet of gas flow)가 상기 웨이퍼에서 직접 지시되지 않음을 의미한다. 그 외 다른 실시예에 있어서, 상기 인바운드 로드록 통기 흐름은 방사상이 아니다.
상기 환형 고리 폭은 어느 일 실시예에 있어서 대략 0.005 내지 0.050 인치의 범위에 있다. 상기 환형 고리 챔버의 직사각형 횡단면은 어느 일 실시예에 있어서 대략 0.25 내지 1.5 인치 사이의 치수가 될 수 있다. 예를 들어, 특별한 실시예에 있어서, 상기 환형 고리 챔버는 1.5 x 0.5 인치의 직사각형 횡단면을 가진다.
도 7a 및 도 7b는 도 7a 및 도 7b에서 설명된 실시예에 있어서 특히 하부 로드록인, 로드록에 대한 통기 확산 디자인(vent diffuser design)을 설명한다. 하부 로드록 챔버(702)는 중앙 플레이트(706)에 의해 하부 로드록 챔버(704)로부터 분리된다. 상기 중앙 플레이트(706)는 상기 상부 로드록 챔버(702) 하부 펌프 작용을 위한 전술된 환형 고리 오목부를 포함한다. 가스 공급 포트(711)는 상기 로드록의 측부 상에 위치된다. 가스는 환형 고리 챔버(784)를 통하여 통기되고 그 뒤 상기 로드록 챔버의 천장 주위에 위치된 간극(786)(환형 고리 채널로써 언급됨)을 통하여 하부 로드록 챔버(704)로 도입된다. 상기 간극의 기하 도형적 배열은 천장(ceiling)으로부터 상기 웨이퍼 중앙을 향하여 흐름 벡터를 도입하고, 이에 상기 웨이퍼의 상단 표면을 향하여 하부 방향으로 만곡된다. 이러한 흐름 벡터는 점선에 의해 도면에서 나타난다. 상기 조밀한 간극을 통하여 통기 작용에 의해, 흐름 컨덕턴스는 상기 웨이퍼의 상단 주위에서 모든 방사상의 지점에서 균등하게 통기되도록 막힌다(choked). 상기 흐름은 이물질이 상기 로드록 챔버의 그 외 다른 영역으로부터 상기 웨이퍼로 이동되는 것을 방지하고 상기 웨이퍼로부터 미립자 또는 그 외 다른 이물질을 이격되게 밀어내며, 상기 웨이퍼의 상단으로부터 발산한다(전술된 상부 로드록 유입구 및 유출구 포트는 도 7a에서 보이지 않는다).
상기 간극 및 환형 고리 챔버의 확대도가 상기 흐름 벡터를 나타내는 점선 화살표와 함께 도 7b에서 도시된다. 통기 가스는 공급 또는 유입구 포트(711)로부터 들어오고 상기 환형 고리 챔버(784)로 채널(713)을 통하여 안내된다. 그 뒤 상기 가스는 상기 환형 고리 간극(786)을 통하여 로드록으로 도입된다. 상기 간극이 단계화되어 도 7a에서 도시된 흐름 벡터의 결과와 함께 흐름이 상기 웨이퍼에 평행하게 상기 챔버로 들어간다. 통기 가스로 환형 고리 챔버(784)를 충진하며, 조밀한 간극이 상기 흐름을 막기때문에, 상기 가스는 상기 웨이퍼에 걸쳐 방사상으로 일정하게 도입된다. 상기 간극은 상기 웨이퍼에 걸쳐 전개되어 상기 챔버로 상기 가스의 유입 지점이 상기 웨이퍼의 변부와 중앙 사이에 있도록 한다. 어느 일 실시예에 있어서 상기 유입 지점은 300mm 웨이퍼에 대해 8 인치 (~200mm) 직경이다.
도 7b에서 설명된 실시예에 있어서, 하부 로드록은 일정한 흐름으로 아웃바운드 웨이퍼에 대해 최적화된다. 상기 하부 로드록은 출구 포트(780)에서 상기 측부로부터 펌프 다운되며, 이는 상기 로드록이 아웃바운드 웨이퍼에 대해 최적화되기 때문이고, 웨이퍼들은 일반적으로 펌프다운 작업 중에 나타나지 않으며 이에 흐름 벡터가 오염을 처리하기에 결정적이지 않는다.
상기 도면에서 기술된 상기 적재 로드록 조립체에서, 상기에서 기술된 바와 같은 단일의 중앙 플레이트는 상부 및 하부 챔버를 분리하기 위해 사용된다. 또한 상기 플레이트는 상부 로드록 펌핑 환환 고리 및 상기 하부 로드록 벤팅 환형 고리에 대한 상기 환형 고리 체적을 형성한다. 또한, 상기 중앙 플레이트는 기계적인 확산기(mechanical diffuser) 및 상기 통기 가스 흐름 막힘 경로(vent gas flow choke path)로써 작동하고 상기 펌프다운 흐름 막힘 현상을 위한 상기 간극을 형성한다.
펌프다운 및 통기 중에 일정한 방사상의 흐름에 대한 환형 고리 디자인은 인바운드 웨이퍼에 대해 최적화된 상부 로드록과 아웃바운드 웨이퍼에 대해 최적화된 하부 로드록을 가지는 적재 로드록 조립체의 조건(context)에 있어서 상기에서 설명된다. 다양한 실시예에 있어서, 통기 및/또는 펌프다운에 대한 상기 환형 디자인은 로드록 조립체의 그 외 다른 형태에서 사용된다. 예를 들어, 단일의 적재되거나 또는 적재되지 않은 로드록은 펌프 및 통기 중에 흐름 벡터를 처리하기 위하여 2개의 환형 고리 간극 및 챔버를 가질 수 있다(어느 한 실시예는 도 6a에서 도시되며, 상기 도 6a는 펌프 및 통기 환형 고리를 가진다). 또한, 종래 기술의 당업자는 인바운드 웨이퍼에 대한 하부 로드록 및 아웃바운드 웨이퍼에 대한 적재 로드록 조립체의 상부 로드록을 최적화시키는 방법을 전술된 설명으로부터 이해할 수 있다.
상기 웨이퍼로부터 이격되어 미립자를 가압하기 위해 상단-하부 흐름을 형성하기 위하여, 상기 환형 고리 간극은 일반적으로 펌프다운에 대한 웨이퍼 지지부 이하가 되며 상기 환형 고리 간극은 일반적으로 통기에 대한 웨이퍼 지지부 상부에 형성된다. 그러나, 어느 일 실시예에 있어서, 상기 환형 고리 간극이 배치될 수 있다(가령, 그 외 다른 디자인을 고려하기 때문에). 어느 일 실시예에 있어 또 다른 실례에서, 로드록은 그 외 다른 작업을 위한 중앙 포트와 조합된 펌프다운을 위한 챔버 및 환형 고리 간극을 가질 수 있다. 상기 디자인은 일정한 방향의 로드록 및 양 방향 로드록 모두와 함께 사용될 수 있다.
상기 도면에 있어서, 상기 환형 간극은 플레이트 및 상기 로드록 하우징 또는 측부벽에 의해 형성되며, 도 6a 및 도 6b의 상기 상부 로드록 펌프 간극(664)은 상기 중앙 플레이트와 상기 하우징 또는 측부벽에 의해 형성되고, 도 7a 및 도 7b의 상기 하부 로드록 통기 간극(786)은 중앙 플레이트 및 측부벽에 의해 형성되며, 도 6a의 상기 상부 로드록 통기 간극(674)은 상부 플레이트 및 상기 하우징 또는 측부벽에 의해 형성된다. 상기 환형 고리 챔버는 중앙 또는 상부 플레이트 내 환형 고리 오목부에 의해 형성된다. 다양한 실시예에 있어서, 상기 환형 고리 간극 및 챔버는 가령, 상기 로드록 하우징 내 환형 고리 오목부인 적절한 구조에 의해 형성될 수 있으며, 상기 로드록 하우징은 상기에서 전술된 바와 같이 흐름 경로를 형성 하기 위해 사용될 수 있다. 환형 고리 간극을 통하여 상기 흐름을 막는 어떤 한 구조물이 사용될 수 있다.
상기 환형 고리 간극(환형 고리 채널 폭)은 어느 일 실시예에 있어서 대략 0.005 내지 0.050의 범위에 있다. 상기 환형 고리 챔버의 상기 직사각형 횡단면은 어느 일 실시예에 있어서 대략 0.25 내지 1.5 사이의 치수 형상을 가질 수 있다. 예를 들어, 특별한 실시예에 있어서, 상기 환형 고리 챔버는 0.5 x 0.5 인치의 직사각형 횡단면을 가진다.
본 발명의 이러한 특징과 그 외 다른 특징 및 장점은 수반하는 도면에 의해 보다 상세하게 설명되며 도면은 다음과 같다.
도 1은 다양한 실시예에 따라 듀얼 웨이퍼 핸들링 장치 및 구성 요소의 외부를 도식적으로 도시하는 도면.
도 2a 및 도 2b는 다양한 실시예에 따르는 이송 모듈과 대기 환경의 내부 측면을 도시하는 듀얼 웨이퍼 핸들링 장치를 도식적으로 도시하는 도면.
도 3a 내지 도 3e는 어느 일 실시예에 있어서 한 쌍의 웨이퍼를 저장 카세트로부터 웨이퍼 이송 모듈로 또는 그 역으로 이송을 수행하는 듀얼 웨이퍼 이송에 있어 듀얼 웨이퍼 이송의 상단도를 그래프로 나타내어 도시한 도면.
도 3f는 본 명세서에서 설명한 장치 및 방법의 어느 일 실시예에 따르는 처리 공정 모듈에 있어 한 쌍의 웨이퍼의 연속적인 움직임에 대한 실례를 도시하는 도면.
도 3g는 전개된 위치에서 어느 한 듀얼 말단 작동기 및 후퇴된 위치에서 또 다른 듀얼 말단 작동기를 가지는 2개의 암 듀얼 말단 작동기 이송 모듈 로봇을 도식적으로 도시하는 도면.
도 4a 내지 도 4b는 어느 일 실시예에 따르는 적재 로드록을 도식적으로 도시하는 도면.
도 5a 및 도 5b는 어느 일 실시예에 따르는 적재 로드록을 도식적으로 도시하는 도면.
도 6a 및 도 6b는 어느 일 실시예에 따르는 상부 로드록에 대한 펌프 및 통기 디자인을 도식적으로 설명하는 도면.
도 7a 및 도 7b는 어느 일 실시예에 따르는 하부 로드록에 대한 펌프 및 통기 디자인을 도식적으로 도시하는 도면.

Claims (31)

  1. 대기 환경 및 진공 이송 모듈 사이 이송 기판에 대한 적재 로드록 조립체에 있어서, 상기 장치는
    하나 이상의 챔버를 가지는 하부 로드록을 포함하고, 각각의 챔버는 챔버와 이송 모듈 로봇 사이 기판을 이송하기 위해 선택적으로 개방 가능한 밀봉식 도어 및 기판 지지부를 가지며,
    상기 하부 로드록 위에 배치된 상부 로드록을 포함하고, 상기 상부 로드록은 하나 이상의 챔버를 가지며, 각각의 챔버는 상기 챔버와 이송 모듈 로봇 사이 웨이퍼를 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어 및 기판 지지부를 가지며,
    이에 상기 상부 로드록은 상기 하부 로드록으로부터 격리되며, 상기 상부 및 하부 기판 이송 평면 사이 수직 거리는 100mm 에 지나지 않는 것을 특징으로 하는 적재 로드록 조립체.
  2. 제 1 항에 있어서, 상기 적재 로드록 조립체의 높이는 상기 하부 로드록 챔버로부터 상기 상부 로드록 챔버의 상단까지 측정되는 바와 같이 10"에 지나지 않는 것을 특징으로 하는 적재 로드록 조립체.
  3. 제 1 항에 있어서, 상기 챔버 체적은 3 리터(L)와 20 리터 사이에서 형성되 는 것을 특징으로 하는 적재 로드록 조립체.
  4. 제 1 항에 있어서, 각각의 로드록은 듀얼 기판 챔버(dual substrate chambers)를 가지는 것을 특징으로 하는 적재 로드록 조립체.
  5. 제 1 항에 있어서, 하나 이상의 로드록은 방사상의 펌프 다운 작용(radial pumpdown)을 형성하는 것을 특징으로 하는 적재 로드록 조립체.
  6. 제 1 항에 있어서, 하나 이상의 로드록은 방사상의 통기 작용(radial venting)을 형성하는 것을 특징으로 하는 적재 로드록 조립체.
  7. 제 1 항에 있어서, 각각의 로드록은 방사상의 펌프 작용 및 방사상의 통기 작용 중 하나 이상의 작용에 대해 형성되고, 상기 로드록 조립체는 중앙 펌프 포트 또는 통기 포트를 가지지 않는 것을 특징으로 하는 적재 로드록 조립체.
  8. 제 1 환경으로부터 제 2 환경으로 기판을 이송시키기 위한 적재 로드록 조립체에 있어서, 상기 장치는
    하나 이상의 기판 챔버를 가지는 상부 로드록을 포함하고,
    하나 이상의 기판 챔버를 가지는 하부 로드록을 포함하며,
    각각의 상부 로드록 기판 채널은 하부 로드록 기판 챔버 위에 배치되고 및,
    상부 로드록 챔버을 중첩함으로부터 각각의 하부 로드록 기판 챔버를 격리시키기 위한 하나 이상의 중앙 플레이트를 포함하며, 이에 각각의 중앙 플레이트는 상기 하부 로드록 챔버의 천장 및 상기 상부 로드록 챔버의 플로어를 형성하는 것을 특징으로 하는 적재 로드록 조립체.
  9. 제 8 항에 있어서, 각각의 중앙 플레이트는 제 1 환형 고리 오목부 및 제 2 환형 고리 오목부를 포함하고, 상기 제 1 환형 고리 오목부는 상기 상부 로드록 챔버의 외부에서 가스를 펌프 작용하기 위한 흐름 경로를 형성하고, 상기 제 2 환형 고리 오목부는 상기 하부 로드록 챔버로 가스를 통기시키기 위한 흐름 경로를 형성하는 것을 특징으로 하는 적재 로드록 조립체.
  10. 제 8 항에 있어서, 상기 상부 로드록의 외부 및/또는 내부에서 기판을 이송시키기 위한 상부 구멍을 추가적으로 포함하고 하부 로드록의 외부 및/또는 내부에서 기판을 이송시키기 위한 하부 구멍을 추가적으로 포함하며,
    이에 상부 구멍은 대략 100 mm의 수직 거리에서 하부 구멍으로부터 분리되는 것을 특징으로 하는 적재 로드록 조립체.
  11. 제 1 항에 있어서, 상기 조립체의 높이는 10" 높이 이하인 것을 특징으로 하는 적재 로드록 조립체.
  12. 제 1 항에 있어서, 상부 로드록 챔버를 덮기 위한 상부 로드록 리드를 추가적으로 포함하고, 이에 각각의 리드는 하부 로드록 챔버로 가스를 통기시키기 위한 흐름 경로를 형성하는 환형 고리 오목부를 포함하는 것을 특징으로 하는 적재 로드록 조립체.
  13. 로드록 장치는 하나 이상의 챔버를 가지는 하부 로드록을 포함하고, 각각의 챔버는 이송 모듈 로봇과 챔버 사이에서 기판을 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어 및 기판 지지부를 가지며, 상부 로드록은 하부 로드록 위에 배치되고, 상기 상부 로드록은 하나 이상의 챔버를 가지며, 각각의 챔버는 상기 챔버와 이송 모듈 로봇 사이에서 웨이퍼를 이송시키기 위해 선택적으로 개방 가능한 밀봉식 도어 및 기판 지지부를 가지는, 로드록 장치를 사용하여 대기 환경 및 진공 환경 사이에서 기판을 이송하기 위한 방법에 있어서, 상기 방법은
    상부 로드록 기판 수평 이송 평면 상에 하나 이상의 상부 로드록 챔버와 대가 환경 사이에서 하나 이상의 기판을 이송시키기 위한 단계,
    하부 로드록 기판 수평 이송 평면 상에 하나 이상의 로드록 챔버와 진공 환경 사이에서 하나 이상의 기판을 이송시키기 위한 단계를 포함하고,
    이에 상부 로드록은 상기 하부 로드록으로부터 격리되고 상기 상부 및 하부 기판 수평 이송 평면 사이 수직 거리는 100mm 이하 인것을 특징으로 하는 방법.
  14. 웨이퍼를 가지는 로드록 챔버를 방사상으로 통기시키기 위한 로드록 장치에 있어서, 상기 장치는 상기 로드록 챔버 내 웨이퍼 지지부, 측부 유입구 포트, 상기 로드록 챔버 위에 위치된 환형 고리 챔버로 개방하는 상기 측부 유입구 포트, 지지부에서 웨이퍼에 대해 평행한 흐름을 안내하기 위한 계단식 좁은 채널로 연결된 상기 환형 고리 챔버를 포함하는 것을 특징으로 하는 로드록 장치.
  15. 제 14 항에 있어서, 상기 측부 유입구 포트를 형성하는 로드록 하우징을 추가적으로 포함하는 것을 특징으로 하는 로드록 장치.
  16. 제 14 항에 있어서, 로드록 하우징과 로드록 챔버의 천장을 형성하는 상부 플레이트를 추가적으로 포함하고, 이에 상기 환형 고리 채널은 상부 플레이트의 오목하게 형성된 부분과 상기 로드록 하우징에 의해 형성되는 것을 특징으로 하는 로드록 장치.
  17. 제 16 항에 있어서, 상기 상부 플레이트의 환형 고리 단면과 로드록 하우징은 단계적으로 형성되고, 상기 플레이트의 계단식 단면의 외부 직경은 상기 로드록 하우징의 계단식 단면의 내부 직경보다 적으며 이에 의해 환형 고리 계단식 채널을 형성하는 것을 특징으로 하는 로드록 장치.
  18. 제 14 항에 있어서, 상기 환형 고리 계단식 좁은 채널의 폭은 대략 0.005 내지 0.050인치 사이에서 형성되는 것을 특징으로 하는 로드록 장치.
  19. 제 14 항에 있어서, 상기 계단식 채널은 웨이퍼 표면, 수직 단면 및 내부 평행 단면에 대해 평행한 외부 단면을 포함하는 것을 특징으로 하는 로드록 장치.
  20. 제 14 항에 있어서, 상기 환형 고리 챔버의 직사각형 횡단면의 치수는 대략 0.25 내지 1.5 인치 범위인 것을 특징으로 하는 로드록 장치.
  21. 제 14 항에 있어서, 상기 측부 유입구 포트, 상기 환형 고리 챔버 및 환형 고리 계단식 좁은 채널은 상기 로드록 챔버로 통기된 가스를 위한 흐름 경로를 형성하는 것을 특징으로 하는 로드록 장치.
  22. 제 21 항에 있어서, 상기 환형 고리 계단식 좁은 채널은 상기 통기 가스 흐름을 막는 것을 특징으로 하는 로드록 장치.
  23. 웨이퍼를 가지는 로드록 챔버 아래에서 방사상으로 펌프 형성시키기 위한 로드록 장치에 있어서, 상기 장치는 상기 로드록 챔버 내 웨이퍼 지지부, 환형 고리 챔버로 개방되는 측부 유출구 포트, 상기 환형 고리 챔버로 흐름을 안내하기 위한 환형 고리 챔버로 상기 로드록 챔버를 연결하는 좁은 환형 고리 채널을 포함하고, 이에 상기 환형 고리 채널의 내부 직경은 상기 웨이퍼 지지부 직경보다 큰 것을 특징으로 하는 로드록 장치.
  24. 제 23 항에 있어서, 상기 측부 유출구 포트를 형성하는 로드록 하우징을 포함하는 것을 특징으로 하는 로드록 장치.
  25. 제 23 항에 있어서, 로드록 하우징과 상기 로드록 챔버의 플로어를 형성하는 하부 플레이트를 추가적으로 포함하고, 이에 상기 환형 고리 채널은 상기 하부 플레이트의 오목하게 형성된 부분과 로드록 하우징에 의해 형성되는 것을 특징으로 하는 로드록 장치.
  26. 제 25 항에 있어서, 로드록 하우징을 추가적으로 포함하고, 이에 상기 플레이트 단면의 외부 직경은 상기 로드록 하우징의 단면 내부 직경보다 적으며 이에 의해 상기 환형 고리 채널을 형성하는 것을 특징으로 하는 로드록 장치.
  27. 제 23 항에 있어서, 상기 좁은 환형 고리 채널의 폭은 대략 0.005 내지 0.050 인치 사이에서 형성되는 것을 특징으로 하는 로드록 장치.
  28. 제 23 항에 있어서, 상기 환형 고리 챔버의 직사각형 횡단면의 치수는 대략 0.25 내지 1.5 인치 범위인 것을 특징으로 하는 로드록 장치.
  29. 적재 로드록 장치에 있어서, 상기 장치는
    상기 상부 로드록 챔버 내 웨이퍼 지지부, 측부 유입구 포트, 상기 상부 로드록 챔버 위에 위치된 상부 환형 고리 챔버로 개방되는 상기 측부 유입구 포트, 상기 지지부 상에서 웨이퍼에 대해 평행한 흐름을 안내하기 위한 환형 고리 계단식 좁은 채널로 연결된 상기 환형 고리 챔버를 가지는 하부 로드록 챔버를 포함하고,
    상기 상부 로드록 챔버 내 웨이퍼 지지부, 환형 고리 챔버로 개방되는 측부 유출구 포트, 상기 웨이퍼 지지부 이하에 위치된 환형 고리 챔버, 상기 환형 고리 챔버로 흐름을 안내하기 위한 환형 고리 챔버로 상기 로드록 챔버를 연결하는 좁은 환형 고리 채널, 상기 웨이퍼 지지부 직경보다 큰 상기 환형 고일 채널의 내부 직경을 가지는 상부 로드록 챔버를 포함하는 것을 특징으로 하는 적재 로드록 장치.
  30. 웨이퍼를 가지는 로드록 챔버를 통기시키기 위한 방법에 있어서, 상기 로드록 챔버는 상기 로드록 챔버 내 웨이퍼 지지부, 측부 유입구 포트, 상기 로드록 챔버 위에 위치된 환형 고리 챔버로 개방되는 상기 측부 유입구 포트, 상기 지지부에 웨이퍼에 대해 평행한 흐름을 안내하기 위한 환형 고리 계단식 채널에 연결된 상기 환형 고리 챔버를 포함하고, 상기 방법은
    상기 가스가 상기 환형 고리 계단식 좁은 채널로 흘러서 상기 웨이퍼에 대해 평행한 로드록 챔버로 상기 가스의 방사상의 흐름을 안내하도록 하기 위해 상기 환형 고리 챔버를 통하여 가스를 유입시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼를 가지는 로드록 챔버를 통기시키기 위한 방법.
  31. 웨이퍼를 가지는 로드록 챔버 아래에서 펌프 형성시키는 방법에 있어, 상기 로드록 챔버는 상기 로드록 챔버 내 웨이퍼 지지부, 환형 고리 챔버로 개방되는 측부 유출구 포트, 상기 환형 고리 챔버로 흐름을 안내하기 위한 상기 환형 고리 챔버로 상기 로드록 챔버를 연결하는 좁은 환형 고리 채널을 포함하고, 상기 방법은
    상기 가스가 상기 환형 고리 챔버로 상기 환형 고리 채널을 통하여 막히도록 하기 위하여 상기 측부 유출구 포트를 통하여 가스를 펌프 작용시켜 상기 웨이퍼의 중앙으로부터 외부 방향으로 가스를 방사상으로 펌프 형성시키는 단계를 포함하는 것을 특징으로 하는 웨이퍼를 가지는 로드록 챔버 아래에서 펌프 형성시키는 방법.
KR1020080120312A 2007-11-30 2008-12-01 로드락 디자인 및 로드락을 사용하기 위한 방법 KR101555369B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/998,528 2007-11-30
US11/998,528 US8033769B2 (en) 2007-11-30 2007-11-30 Loadlock designs and methods for using same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020150025377A Division KR101624152B1 (ko) 2007-11-30 2015-02-23 로드락 디자인 및 로드락을 사용하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20090056919A true KR20090056919A (ko) 2009-06-03
KR101555369B1 KR101555369B1 (ko) 2015-09-23

Family

ID=40675888

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020080120312A KR101555369B1 (ko) 2007-11-30 2008-12-01 로드락 디자인 및 로드락을 사용하기 위한 방법
KR1020150025377A KR101624152B1 (ko) 2007-11-30 2015-02-23 로드락 디자인 및 로드락을 사용하기 위한 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020150025377A KR101624152B1 (ko) 2007-11-30 2015-02-23 로드락 디자인 및 로드락을 사용하기 위한 방법

Country Status (4)

Country Link
US (2) US8033769B2 (ko)
KR (2) KR101555369B1 (ko)
CN (1) CN101447406B (ko)
TW (1) TWI495031B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US8052419B1 (en) 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8430620B1 (en) 2008-03-24 2013-04-30 Novellus Systems, Inc. Dedicated hot and cold end effectors for improved throughput
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
KR20100000146A (ko) * 2008-06-24 2010-01-06 주성엔지니어링(주) 챔버리드를 포함하는 기판처리를 위한 진공챔버
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8888434B2 (en) * 2011-09-05 2014-11-18 Dynamic Micro System Container storage add-on for bare workpiece stocker
CN104040710B (zh) 2012-01-06 2017-11-28 诺发系统公司 用于均匀传热的自适应传热方法和系统
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9037683B1 (en) 2012-03-05 2015-05-19 Koji Yoden Media asset streaming over network to devices
US9355876B2 (en) 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
US9245783B2 (en) 2013-05-24 2016-01-26 Novellus Systems, Inc. Vacuum robot with linear translation carriage
KR20210127823A (ko) 2013-11-04 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 증가된 개수의 측들을 갖는 이송 챔버들, 반도체 디바이스 제조 프로세싱 툴들, 및 프로세싱 방법들
US9020633B1 (en) * 2013-11-29 2015-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Automating storage, retrieval, and routine for process flow
KR101613544B1 (ko) * 2014-02-13 2016-04-19 주식회사 유진테크 기판 처리 장치
US10490423B2 (en) * 2014-09-05 2019-11-26 Tae Wha Kim Fume removal apparatus for semiconductor manufacturing chamber
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US20160240405A1 (en) * 2015-02-12 2016-08-18 Applied Materials, Inc. Stand alone anneal system for semiconductor wafers
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
CN108091587B (zh) * 2016-11-21 2021-01-29 北京北方华创微电子装备有限公司 一种工艺腔室及半导体装置
TWI802439B (zh) 2017-10-27 2023-05-11 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
TWI812475B (zh) * 2018-09-29 2023-08-11 美商應用材料股份有限公司 具有精確溫度和流量控制的多站腔室蓋
JP7058239B2 (ja) * 2019-03-14 2022-04-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11883958B2 (en) * 2019-06-07 2024-01-30 Applied Materials, Inc. Robot apparatus including dual end effectors with variable pitch and methods
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11443973B2 (en) * 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11117265B2 (en) 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
CN113257718B (zh) * 2021-06-08 2021-09-17 陛通半导体设备(苏州)有限公司 一种便于位置校准的堆叠结构腔体设备
US11823939B2 (en) * 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612825A (en) 1969-08-08 1971-10-12 Shatterproof Glass Corp Windowed high-temperature ovens
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5228208A (en) 1991-06-17 1993-07-20 Applied Materials, Inc. Method of and apparatus for controlling thermal gradient in a load lock chamber
US6518195B1 (en) * 1991-06-27 2003-02-11 Applied Materials, Inc. Plasma reactor using inductive RF coupling, and processes
JP3238200B2 (ja) 1992-07-17 2001-12-10 株式会社東芝 基体処理装置及び半導体素子製造方法
US5308989A (en) 1992-12-22 1994-05-03 Eaton Corporation Fluid flow control method and apparatus for an ion implanter
JP3017631B2 (ja) 1993-11-24 2000-03-13 東京エレクトロン株式会社 低温処理装置の制御方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3202929B2 (ja) * 1996-09-13 2001-08-27 東京エレクトロン株式会社 処理システム
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
US6228438B1 (en) 1999-08-10 2001-05-08 Unakis Balzers Aktiengesellschaft Plasma reactor for the treatment of large size substrates
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
JP3516392B2 (ja) 2000-06-16 2004-04-05 イビデン株式会社 半導体製造・検査装置用ホットプレート
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
JP2002134484A (ja) 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
TW541581B (en) 2001-04-20 2003-07-11 Memc Electronic Materials Method for the preparation of a semiconductor substrate with a non-uniform distribution of stabilized oxygen precipitates
US6750155B2 (en) 2001-08-08 2004-06-15 Lam Research Corporation Methods to minimize moisture condensation over a substrate in a rapid cycle chamber
US6899765B2 (en) 2002-03-29 2005-05-31 Applied Materials Israel, Ltd. Chamber elements defining a movable internal chamber
US20030192646A1 (en) * 2002-04-12 2003-10-16 Applied Materials, Inc. Plasma processing chamber having magnetic assembly and method
KR20030096732A (ko) 2002-06-17 2003-12-31 삼성전자주식회사 반도체소자 제조용 화학기상증착장치의 쿨링 스테이지
JP4212888B2 (ja) 2002-12-26 2009-01-21 三菱化学エンジニアリング株式会社 プレート型触媒反応器
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP2005116655A (ja) 2003-10-06 2005-04-28 Canon Inc ロードロックチャンバー、露光装置、デバイスの製造方法、真空排気方法
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP4497103B2 (ja) 2006-02-21 2010-07-07 住友電気工業株式会社 ウェハ保持体およびそれを搭載したヒータユニット、ウェハプローバ
JP4702799B2 (ja) 2006-03-17 2011-06-15 ルネサスエレクトロニクス株式会社 ボルト及び半導体製造装置
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453694B2 (en) 2011-03-01 2019-10-22 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11177136B2 (en) 2011-03-01 2021-11-16 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration

Also Published As

Publication number Publication date
KR20150039152A (ko) 2015-04-09
TWI495031B (zh) 2015-08-01
TW200935546A (en) 2009-08-16
KR101555369B1 (ko) 2015-09-23
US20090142167A1 (en) 2009-06-04
US20120003063A1 (en) 2012-01-05
CN101447406B (zh) 2013-07-24
CN101447406A (zh) 2009-06-03
KR101624152B1 (ko) 2016-05-25
US8033769B2 (en) 2011-10-11
US8491248B2 (en) 2013-07-23

Similar Documents

Publication Publication Date Title
KR101624152B1 (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
KR102360219B1 (ko) 인덱서블 측면 저장 포드 장치, 가열식 측면 저장 포드 장치, 시스템들, 및 방법들
US6696367B1 (en) System for the improved handling of wafers within a process tool
KR101840552B1 (ko) 로드 로크 장치 및 기판 처리 시스템
US9472432B1 (en) Dedicated hot and cold end effectors for improved throughput
US7022613B2 (en) Reduced cross-contamination between chambers in a semiconductor processing tool
US20070209593A1 (en) Semiconductor wafer cooling device
JP2001524267A (ja) 複数のシングル・ウェーハ・ロードロック・ウェーハ加工装置ならびにそのローディングおよびアンローディングの方法
KR20010034036A (ko) 2-웨이퍼 로드록 웨이퍼 처리장치 및 그 로딩 및 언로딩방법
JP2011124564A (ja) 半導体被処理基板の真空処理システム及び半導体被処理基板の真空処理方法
EP1774574A1 (en) System for handling of wafers within a process tool
JP4634918B2 (ja) 真空処理装置
JP2023535578A (ja) ファクトリインターフェース設置面積スペース中にロードロックを組み込むためのシステムおよび方法
JP2018170347A (ja) ウェハー搬送装置及びウェハー搬送方法
KR100781082B1 (ko) 기판 반송 장치 및 그것을 사용한 기판 처리 설비
US20230113673A1 (en) Factory interface robots usable with integrated load locks
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
JP2000068216A (ja) 基板処理装置
CN115735271A (zh) 批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180904

Year of fee payment: 4