CN115735271A - 批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中 - Google Patents

批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中 Download PDF

Info

Publication number
CN115735271A
CN115735271A CN202180043296.3A CN202180043296A CN115735271A CN 115735271 A CN115735271 A CN 115735271A CN 202180043296 A CN202180043296 A CN 202180043296A CN 115735271 A CN115735271 A CN 115735271A
Authority
CN
China
Prior art keywords
chamber
cassette
substrates
efem
batch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180043296.3A
Other languages
English (en)
Inventor
迪安·C·赫鲁泽克
尼尔·玛利
马雷克·拉德科
保罗·B·路透
史蒂芬·桑索尼
苏斯汉特·S·科希特
约翰·约瑟夫·马佐科
朱安·查辛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN115735271A publication Critical patent/CN115735271A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

基板处理系统包括耦接到基于真空的主机的装备前端模块(EFEM),EFEM包含多个接口开口。该系统进一步包含批量脱气腔室,该批量脱气腔室在多个接口开口中的一个接口开口处附接到EFEM。该批量脱气腔室包括密封到EFEM的该接口开口的壳体。在壳体内放置盒,该盒被构造成用以保持多个基板。附接到壳体的反应器腔室用于接收盒并对多个基板执行主动脱气处理。主动脱气处理从多个基板的表面去除湿气和污染物。排放管线附接到反应器腔室用以为湿气和污染物提供出口。

Description

批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中
技术领域
本申请涉及电子装置制造,且尤其涉及装备前端模块(equipment front endmodule;EFEM)的批量晶片脱气腔室(batch wafer degas chamber),以及将批量晶片脱气腔室整合到基于真空的主机(mainframe)中。
背景技术
半导体部件制造中的基板处理是在多个处理工具中进行的,其中基板在基板载具(例如前开式标准舱(Front Opening Unified Pod)或FOUP)中在处理工具之间移动。FOUP可以对接到EFEM的前壁,EFEM包括装载/卸载机器人,机器人可操作以在各自的FOUP和与EFEM的与前壁相对的后壁耦接的一个或多个目的地(例如装载锁定机构或处理腔室)之间移送基板。基板处理系统(例如要包括这些多个处理工具所附接的基于真空的主机)尽力具有更低的污染水平、更高的真空水平和更好的生产率,以满足许多沉积处理的容差和高产量。
发明内容
在一些具体实施方式中,提供了一种基板处理系统。这种基板处理系统可包括耦接到基于真空的主机的装备前端模块(EFEM),该EFEM具有多个接口开口。批量脱气腔室可以在多个接口开口中的一个接口开口处附接到EFEM。该批量脱气腔室可包括密封到EFEM的该接口开口的壳体。在壳体内可放置盒,该盒被构造成用以保持多个基板。可将反应器腔室附接到壳体,盒那个插入该反应器腔室中,该反应器腔室对多个基板执行主动脱气处理。该主动脱气处理从多个基板的表面去除湿气和污染物。排放管线可附接到反应器腔室用以为湿气和污染物提供出口。在一个具体实施方式中,EFEM是惰性EFEM。
在一些具体实施方式中,提供了一种处理基板的方法。这种方法可包含:将多个基板从前端开口舱(front end opening pod;FOUP)移送到批量脱气腔室的盒,批量脱气腔室附接到装备前端模块(EFEM)或位于EFEM与基板处理系统的基于真空的主机之间。这种方法可进一步包含:将包括批量脱气腔室的盒的盒提升机(cassette hoist)从壳体提升到批量脱气腔室的反应器腔室中。这种方法可进一步包含:通过反应器腔室对多个基板执行主动脱气处理(active degas process),其中主动脱气处理从多个基板的表面去除湿气和污染物以产生脱气的基板。这种方法可进一步包含:从反应器腔室通过排放管线排出湿气和污染物。这种方法可进一步包含:将带有盒的盒提升机降低回到脱气腔室的壳体中。
在一些具体实施方式中,提供批量脱气腔室。壳体能够密封到装备前端模块(EFEM)的接口开口和基板处理系统的基于真空的主机上的机面两者。批量脱气腔室还可包括附接到壳体的反应器腔室,盒能够插入到反应器腔室中。盒用以保持多个基板,并且反应器腔室用以对多个基板进行主动脱气处理。主动脱气处理从多个基板的表面去除湿气和污染物。批量脱气腔室可进一步包含盒提升机,盒提升机定位在壳体内并且适于将盒从壳体移动到反应器腔室中以进行处理,并且在处理之后将盒回送到壳体。批量脱气腔室可进一步包含排放管线,排放管线附接到反应器腔室用以为湿气和污染物提供出口。
根据本公开内容的这些和其他具体实施方式,提供了许多其他方面和特征。根据以下的具体实施方式、权利要求和附图,本公开内容的具体实施方式的其他特征和方面将变得更加完全易懂。
附图说明
下文描述的附图仅用于说明目的,不一定按比例绘制。附图无意以任何方式限制本公开内容的范围。
图1图示了根据具体实施方式的具有EFEM的基板处理系统的示意性俯视图,该基板处理系统包括耦接到EFEM主体的侧壁的批量脱气腔室。
图2示出了基板处理系统的替代具体实施方式的俯视平面图,其中第一批量脱气腔室耦接到EFEM并且第二批量脱气腔室附接到主机的机面(facet)。
图3A示出了根据各种具体实施方式的批量脱气腔室的前透视图。
图3B示出了根据各种具体实施方式的批量脱气腔室的反应器腔室中的盒的截面图。
图4A-4B是描绘根据各种具体实施方式的在基板处理系统内采用批量脱气腔室的方法的流程图。
具体实施方式
在各种具体实施方式中,本公开内容描述了一种基板处理系统,与现有的基板处理系统相比,此系统实现了更低的污染水平、更高的真空水平和更好的生产率,以便满足沉积处理的容差和产出良率。当基板通过大气工厂接口(FI)并且在附接到基于真空的主机的缓冲腔室的机面的脱气腔室处对受污染的基板进行脱气时,这些结果很难实现。当使受污染的基板在进行脱气之前通过装载锁定机构和脱气腔室之间时,缓冲腔室中的压强会显著升高。当基板脱气时,此缓冲压强略微恢复,但当脱气腔室打开并将基板从脱气腔室中取出时,由于残留的污染物的缘故而再次升高。因为缓冲腔室等待直到压强达到可接受的沉积移送压强以将基板移送到附接到缓冲腔室或移送腔室的沉积腔室中,所以较高的压强导致显著的延迟。在处理过程中,残留的污染物还会导致基板表面出现缺陷。
为了解决这些和其他缺陷,本公开内容描述了这些具体实施方式:其中,在装备前端模块(EFEM)处附接批量脱气腔室,EFEM也被称为工厂接口(FI)。在一些具体实施方式中,批量脱气腔室对多个基板(例如25和75个基板之间)执行主动脱气处理,以从多个基板的表面去除湿气和污染物。主动脱气处理可以是例如基于等离子体的处理或加热的惰性气体处理中的至少一种。一旦脱气,FI机器人可将脱气后的基板从脱气腔室通过FI移送到装载锁定机构,然后再(例如,经由移送腔室中的真空机器人)将基板移送到诸如沉积腔室之类的处理腔室。
在附加或替代的具体实施方式中,为了保护基板在通过EFEM传输时免受污染/腐蚀,EFEM内的环境可以被控制为惰性。可以例如通过在该EFEM中注入适量的非反应性或惰性气体(例如N2)以置换氧气并降低湿气水平来控制EFEM。这种包括惰性环境的惰性EFEM提供对脱气后的基板免受进一步污染物或湿气的影响的保护。在具体实施方式中,EFEM包括用于检测EFEM中的湿气、压强、温度和/或氧气水平的传感器。通过控制一个或多个阀,可以基于检测到的湿气、压强、温度和/或氧气水平来调节流入EFEM中的惰性气体的量。此外,EFEM可包括排放管道。排放管道可包括用于湿气、颗粒等的过滤器。排放管道可包括再循环管道,再循环管道连接回到入口管道,惰性气体供应源通过入口管道将惰性气体输送到EFEM中。经由排放管道从EFEM排出的惰性气体可以被过滤并且再循环回到EFEM中。
在所描述的具体实施方式中,EFEM中的FI机器人从一个或多个基板载具移送基板,基板载具对接至前壁上的装载端口(例如,对接至构造在EFEM主体的前壁上的装载端口)。位于至少部分由EFEM主体形成的EFEM腔室中的FI机器人的终端受动器将基板输送到脱气腔室以进行脱气,如将更详细地解释的那样。一旦脱气,FI机器人将脱气后的基板移送到一个或多个装载锁定机构,以由缓冲腔室内或移送腔室内的机器人取回。所述一个或多个装载锁定机构可以耦接在EFEM的另一表面(例如,EFEM的后表面)上以用于移送到包含移送腔室、缓冲腔室和/或通过腔室(pass-through chamber)的主机中。移送腔室可以连接到多个处理腔室。
以这种方式,脱气后的基板通过装载锁定机构并被送给一个或多个处理腔室进行处理,而无需在附接到基于真空的主机的脱气腔室中进一步脱气(例如,没有耦接到移送腔室或缓冲腔室的脱气腔室)。这种从工厂接口处的脱气开始的处理顺序可涉及许多益处,包括保持主机的移送腔室和/或缓冲腔室内的压强(例如,真空)。这是因为无需排出主机内携带污染物的气体。还有更有效的将基板移动到主机的移送腔室中的移动:跳过了移送到缓冲腔室或移送腔室中与移送到处理腔室中之间的脱气步骤。此外,通过在缓冲腔室和/或移送腔室内保持更恒定的压强水平,使基板的移送更加有效,这减少了任何与调节腔室内压强相关的等待时间。
此外,传统的脱气腔室处理单个基板并且利用主机的机面耦接到主机的移送腔室和/或缓冲腔室。在本文所述的具体实施方式中,作为进一步的优点,先前附接脱气腔室的主机上的机面被释放而可用于其他用途,包括但不限于另一个沉积腔室,例如一个或多个等离子体晶片沉积(PVD)或化学晶片沉积(CVD)腔室。其他优点包括防止主机的腔室和/或连接到主机的腔室(例如移送腔室、处理腔室和/或缓冲腔室)之间的交叉污染,并减少处理腔室、缓冲腔室、通过腔室和/或移送腔室之间的主机内去污染处理的负荷。
图1图示了根据具体实施方式的具有EFEM 114(在此也称为工厂接口(FI))的基板处理系统100的示意性俯视图,基板处理系统100包括耦接到EFEM主体的侧壁的批量脱气腔室120。基板处理系统100可以包括具有主机壁的主机101(例如基于真空的主机),主机壁限定缓冲腔室102和移送腔室104。在具体实施方式中,缓冲腔室102可以是一种移送腔室。缓冲机器人103可以至少部分地容纳在缓冲腔室102内。缓冲机器人103可以被构造成用以经由缓冲机器人103的机械臂的操作,将基板放置到各个目的地以及从各个目的地提取基板。移送机器人105可以至少部分地容纳在移送腔室104内。移送机器人105可以被构造成用以经由移送机器人105的机械臂的操作,将基板放置到各个目的地以及从各个目的地提取基板。如本文所使用的基板,应当是指用于制造电子装置或电路部件的制品,这些电子装置或电路部件诸如是半导体晶片、含硅晶片、图案化或未图案化的晶片、玻璃板或类似物。
在公开的具体实施方式中,缓冲腔室102经由一个或多个(例如,两个)通过腔室112C、112D耦接到移送腔室104。在具体实施方式中,通过腔室112C、112D类似于装载锁定腔室112A、112B。例如,通过腔室112C、112D可以包括用于缓冲机器人103和/或移送机器人105拾取和放置基板的站。通过腔室112C、112D可包括或可不包括使通过腔室112C、112D能够与移送腔室104和/或缓冲腔室102密封隔开的狭缝阀。在具体实施方式中,通过腔室112C、112D用作冷却站。
可以通过控制器106对驱动组件(未示出)送出适当的命令来控制缓冲机器人103和移送机器人105的各种机械臂部件的运动,驱动组件包含多个驱动马达。来自控制器106的信号可以引起缓冲机器人103和移送机器人105的各种机械臂的运动。可以通过各种诸如位置编码器之类的传感器和类似物,为一个或多个机械臂提供合适的反馈机构。
控制器106可以控制移送机器人105、缓冲机器人103、FI机器人117、批量脱气腔室120和/或进一步控制基板处理系统的操作。控制器106可以控制基板119在基板处理系统中和通过基板处理系统的处理和移送。控制器106可以是例如计算机和/或可以包括微处理器或其他合适的CPU(中央处理单元)、用于存储控制电子装置制造系统的软件例程的存储器、输入/输出外围设备和支持电路,诸如例如电源、时钟电路、高速缓冲存储器和或类似物。控制器106可以被编程,以例如通过附接到主机101的每个处理腔室和/或通过批量脱气腔室120循序处理一个或多个基板。在其他具体实施方式中,控制器106可以被编程以通过处理腔室和/或批量脱气腔室120以任何顺序处理基板。在其他具体实施方式中,控制器106可以被编程以跳过和/或重复一个或多个处理腔室和/或批量脱气腔室120中的一个或多个基板的处理。控制器106可替代地被编程为以任何合适的方式在基板处理系统中处理一个或多个基板。
移送机器人105和缓冲机器人103可各自包括能绕肩轴(shoulder axis)旋转的一个或多个机械臂,移送机器人105和缓冲机器人103可分别大致位于移送腔室和缓冲腔室的中心。移送机器人105和缓冲机器人103可以各自包括基座(未示出),基座被构造成用以附接到分别形成移送腔室104和缓冲腔室102的下部的腔室壁(例如,腔室底壁(floor))。然而,在一些具体实施方式中,缓冲机器人103和/或移送机器人105可以附接到顶壁(ceiling)。可以使用其他类型的处理腔室定向(诸如辐射状定向(radially-oriented)的处理腔室),以及其他类型的移送机器人(诸如选择顺应性关节机械臂(selectivecompliance articulating robot arm;SCARA)机器人)。如图所示,单个处理腔室连接到每个机面。然而,在一些具体实施方式中,多个处理腔室耦接到单个机面。
所描绘的具体实施方式中的缓冲腔室102和移送腔室104每一个在形状上可以通常是正方形、矩形、六边形、八边形或圆形并且可以包括多个机面。缓冲机器人103和移送机器人105可以擅长从移送机器人103可够到的(accessible)处理腔室或其他腔室和向这些腔室移送和/或收回基板119。
在图示的具体实施方式中,主机101包括缓冲腔室102和移送腔室104,每个都具有八个机面的辐射状设计(radial design)。缓冲腔室102和移送腔室104通过它们各自的两个机面连接在一起。在其他具体实施方式中,主机可以具有其他构造。例如,移送腔室104和缓冲腔室102可具有具有更多或更少机面的其他构造。机面可以都具有相同的尺寸(例如,相同的宽度和/或长度),或者不同的机面可以具有不同的尺寸。此外,缓冲腔室102可具有与移送腔室104不同数量的机面。在一个具体实施方式中,主机101包括耦接到装载锁定腔室112A、112B的单个移送腔室,并且省去了缓冲腔室104和通过腔室112C、112D。该单个移送腔室可以是具有五个、六个、七个、八个或更多个机面的辐射状移送腔室(radial transferchamber)。替代地,该单个移送腔室可以包括四个机面,并且可以具有正方形或矩形形状。
缓冲机器人103的目的地可以是一个或多个处理腔室,诸如第一处理腔室108A、第二处理腔室108B、第三处理腔室108C和第四处理腔室108D。此外,缓冲机器人103可以将基板放置在通过腔室112C、112D中并且从通过腔室112C、112D取回基板。在包括脱气腔室的传统构造中,第一处理腔室108A和第四处理腔室108D可以是脱气腔室。然而,在所公开的具体实施方式中,处理腔室改为连接到通常会耦接到脱气腔室的那些机面,因为批量脱气腔室120定位在工厂接口处。在一些具体实施方式中,适配器位于这些机面与处理腔室108A和108D之间,以使得缓冲腔室102能够与全尺寸的(full-sized)处理腔室接口连接(interface with)。在此构造中,基板处理系统100可包括数量增加的处理腔室,因此与使用连接到批量处理腔室和/或移送腔室的传统脱气腔室的基板处理系统相比,可进行更多的处理步骤。
为了在一个多个额外的处理腔室(诸如第五处理腔室108E、第六处理腔室108F、第七处理腔室108G、第八处理腔室108H和第九处理腔室108I)中处理基板,缓冲机器人103可以将基板放置在通过腔室112C、112D中。移送机器人105然后可以从通过腔室112C、112D取回基板,并将基板放置在第五处理腔室108E、第六处理腔室108F、第七处理腔室108G、第八处理腔室108H和/或第九处理腔室108I中的任一个中。
基板处理系统100还可以包括第一装载锁定腔室112A和第二装载锁定腔室112B,不过可以设想额外的装载锁定腔室。装载锁定腔室112A、112B可以是单晶片装载锁定(SWLL)腔室、多晶片腔室、批量装载锁定腔室或它们的组合。例如,某些装载锁定机构,例如第一装载锁定机构112A,可用于使基板119流入缓冲腔室102中,而其他装载锁定腔室,诸如第二装载锁定腔室112B,可用于将基板移出缓冲腔室102。类似地,通过腔室112C可用于使基板119流入移送腔室104中,而通过腔室112D可用于将基板移出移送腔室104并返回缓冲腔室102。
各种处理腔室108A-108I可被构造且可操作以对基板119进行任何适当的处理,诸如等离子体气相沉积(PVD)或化学气相沉积(CVD)、蚀刻、退火、预清洁、金属或金属氧化物去除或类似处理。可以在其中所包含的基板119上执行其他沉积、去除或清洁处理。
通过耦接到EFEM 114的表面(例如后壁)的第一和第二装载锁定腔室112A和112B,基板119可以从装备前端模块(EFEM)114被接收到缓冲腔室102中,并且还可以离开缓冲腔室102到达EFEM 114。EFEM 114可以是具有装备前端模块主体的任何围护件(enclosure),该装备前端模块主体包括形成EFEM腔室114C的腔室壁(例如,诸如前壁114F、后壁114R、侧壁114S和上壁(顶壁)和下壁(底壁)(未标记))。侧壁114S之一可以包括接口开口114D,可以通过接口开口114D进出EFEM腔室114C。
在各种具体实施方式中,批量脱气腔室120在接口开口114D处附接到EFEM 114(例如,附接到侧壁114S之一)。批量脱气腔室120可以替代地位于EFEM 114与基于真空的主机(例如主机101)之间。在这样的具体实施方式中,批量脱气腔室120还可以被构造成用以执行装载锁定腔室的功能。例如,批量脱气腔室可以包括在批量脱气腔室与EFEM 114之间的第一狭缝阀组件,并且可以包括在批量脱气腔室与主机101之间的第二狭缝阀组件。在一些具体实施方式中,批量脱气腔室120的相应接口开口可包括密封件122,以便允许在批量脱气腔室120与EFEM 114之间形成真空密封。密封件122可以是任何合适的密封件,例如O形环密封件、矩形密封件或垫圈密封件、球式密封件(bulb seal)和类似物。密封件122的材料可以是丙烯二烯单体(propylene diene monomer)、含氟弹性体或类似材料。
在各种具体实施方式中,批量脱气腔室120还包括盒124及反应器腔室126,盒124可保持多个基板119(例如,在具体实施方式中多达25个和多达75个之间的基板),盒124能插入反应器腔室126中。反应器腔室126可以与EFEM 114环境和脱气腔室壳体(如将说明的)分开密封隔开以用于对基板119脱气,例如,使用基于等离子体的脱气或用于脱气的加热的惰性气体。批量脱气腔室120还可包括排放处理设备150和风扇152,用以将湿气和污染物抽出和排出批量脱气腔室120。将关于图3A-3B和图4A-4B详细讨论批量脱气腔室120。
在附加或替代具体实施方式中,一个或多个装载端口115(例如,附加接口开口)设置在EFEM主体114B的表面(例如前壁114F)上,并且可以被构造成用以在那里接收一个或多个基板载具116(例如FOUP)。虽然示出了三个基板载具116,但可将更多或更少数目的基板载具116与EFEM 114对接。在替代的具体实施方式中,批量脱气腔室120附接在这些FOUP位置的一处或在图示的批量脱气腔室120的相对侧,所有这些都以虚线示出。
EFEM 114还可包括在EFEM 114的EFEM腔室114C内的合适的装载/卸载机器人117(例如FI机器人)。装载/卸载机器人117可以包括终端受动器,并且可以被构造和操作以在基板载具116的门一旦被(诸如被开门机构(未示出))打开时,从基板载具116中取出基板119并且将基板119供给到批量脱气载体120的盒124中。装载/卸载机器人117可以进一步被构造和操作以在盒124一旦下降到已经脱气的反应器腔室126之外时,从盒124中取出基板119、通过EFEM腔室114C、并且进入第一和第二装载锁定腔室112A、112B之一或者多个中。
此外,装载/卸载机器人117可以被构造和操作以从第一和第二装载锁定腔室112A、112B之一或两者中取出基板119,并且将基板119供给到基板载具116中的一个或多个中。在一些具体实施方式中,侧存储舱(side storage pod;SSP)连接到EFEM 114,并且在已经被处理之后,例如在处理腔室108A-108I中的一个或多个中处理基板119之后,可以将处理过的基板放置在SSP中。
另外参照图1,EFEM腔室114C还可包括环境控制系统118,环境控制系统118向EFEM腔室114C提供环境受控的气氛。特别是,环境控制系统118耦接到EFEM 114并且可操作以监测和/或控制EFEM腔室114C内的环境条件。在一些具体实施方式中,并且在某些时间,诸如在基板119的脱气期间,EFEM腔室114C可以在其中接收非反应性(例如惰性)气体。非反应性气体可以是惰性气体,诸如氩气(Ar)、氮气(N2)、氦气(He)或类似的气体,并且可以由惰性气体供应源118S提供。可选地或附加地,例如,插入气体供应源118S可以包括在腔室温(RT)下具有小于5%相对湿度(RH)的洁净干燥空气。
在具体实施方式中,EFEM 114包括一个或多个传感器128,用于检测EFEM 114中的湿气、压强、温度和/或氧气水平。可基于检测到的湿气、压强、温度和/或氧气水平,通过控制一个或多个阀来调节由环境控制系统118流入EFEM 114中的惰性气体的量。此外,EFEM114可以包括排放管道(未示出)。排放管道可包括用于湿气、颗粒等的过滤器。排放管道可包括再循环管道,再循环管道连接回入口管道,惰性气体供应源118S通过入口管道将惰性气体输送到EFEM 114中。经由排放管道从EFEM 114排出的惰性气体可以被过滤并且再循环回到EFEM 114中。
惰性气体供应源118S可以通过控制阀118V耦接到EFEM 114的上气室。以此方式,非反应性气体(或净化气体)流可通过一个或多个过滤器从上气室流至EFEM腔室114C,过滤器可为化学过滤器、颗粒过滤器或两者兼备。在一个或多个具体实施方式中,非反应性气体也流过批量脱气腔室120,如将详细讨论的那样,使得存储在批量脱气腔室120中的基板119暴露于非反应性环境。在一些具体实施方式中,非反应性(或惰性)气体对基板119进行脱气。
在一些具体实施方式中,如果批量脱气腔室120附接到大气EFEM并且因此EFEM114不是惰性的和/或不包含如上所述的环境受控的气氛,则在进一步处理之前,基板可以先被发送通过清洁腔室中(例如处理腔室108A-108D之一)以进行该预清洁。在一些具体实施方式中,除了通过批量脱气腔室120处理基板之外,还可以执行此预清洁。使用清洁腔室执行的预清洁可以是退火预控制(APC)或等离子体反应预清洁(RPC)中的一种。APC预清洁可以使用化学物质和温度来执行升华处理,而RPC可以是RF等离子体清洁处理。与晶片的完全脱气相比,在这种情况下执行的预清洁是一个简单、快速的步骤。因此,即使批量脱气腔室120附接到大气EFEM并且在将基板119送上以待进行沉积处理之前执行预清洁,也有处理效率增益,并且降低了压强变化和主机101的腔室内交叉污染的风险。
图2示出了基板处理系统200的替代的具体实施方式的俯视平面图,其中第一批量脱气腔室120A耦接到EFEM 114并且第二批量脱气腔室120C附接到主机101的机面。为简单起见,未示出处理腔室和一些机器人。在一个具体实施方式中,第一批量脱气腔室120A附接到EFEM 114的右侧,如图所示。在替代的具体实施方式中,不同的批量脱气腔室120B附接到工厂接口的左侧,如虚线所示。如所讨论的那样,主机101可以建立真空环境以在该真空环境中移送和处理基板119。批量脱气腔室120A或120B和批量脱气腔室120C可以具有相同或相似的设计,或者可以具有彼此不同的设计。例如,在一个具体实施方式中,批量脱气腔室120C具有紧凑的构造并且批量脱气腔室120A具有非紧凑的构造。
在其他具体实施方式中,没有批量脱气腔室耦接到EFEM 114,并且如本文具体实施方式中所述的批量脱气腔室120C耦接到主机101的机面。在各种具体实施方式中,密封件122定位在批量脱气腔室120C的壳体与具体实施方式中的主机101的机面的接口开口之间。密封件122可以允许真空环境也存在于批量脱气腔室120C内,不过盒124也可以与反应器腔室126形成密封,如将更详细地解释的那样。在一个具体实施方式中,端口和/或狭缝阀组件将批量脱气腔室120C与主机101分开。
图2还示出了附接到EFEM 114的批量脱气腔室120A的俯视图,并且进一步示出了排放管道228,批量脱气腔室120A可以通过排放管道228排出作为脱气处理的副产物的湿气和污染物。排放管道228可以附接到反应器126。在批量脱气腔室的紧凑构造的具体实施方式中,如针对批量脱气腔室120C所示的那样,排放管道可以整合到批量脱气腔室120C的框架或主体。
图3A示出了根据各种具体实施方式的批量脱气腔室320的前透视图。根据各种具体实施方式,批量脱气腔室320包括但不限于壳体302、交流电(AC)控制器304、接口开口314、盒提升机321、盒324、反应器腔室326、排放管线328、以及气体和排放进出盖(accesslid)330。在具体实施方式中,盒提升机321包括升降机334(例如机械升降机、磁升降机、气动升降机等)和反应器门338,盒324附接到反应器门338。壳体302的接口开口314可以是可密封到EFEM 114(图1-2)的接口开口和基板处理系统100的基于真空的主机上的机面(图2)两者的。如所讨论的那样,可以提供密封件122以便在批量脱气腔室320内建立真空环境。在具体实施方式中,狭缝阀组件耦接到接口开口314。狭缝阀组件可以包括开口,该开口适于允许水平定向的基板通过。狭缝阀组件可包括闸门和用以打开和关闭闸门的致动器。或者,批量脱气腔室320不包括狭缝阀组件。
反应器腔室326可以附接到壳体302。盒324可以是可插入到反应器腔室326中的并且适于保持多个基板119。盒324可以是批量脱气腔室320的可更换部件。在图3B中更详细地示出的盒324可适于保持多个基板119,诸如25个与75个之间的基板119、20个与60个之间的基板或类似个数的基板。
如所讨论的那样,盒提升机321可包括升降机334和反应器门338,盒324附接到反应器门338。在这些具体实施方式中,升降机334可以附接到反应器门338的底部。升降机可以是机械升降机,诸如。或者,升降机可以是气动升降机(例如,可以包括或可以是气动致动器)或电磁升降机或其他类型的升降机。升降机334可以将承载盒324的盒提升机321升降进入和离开反应器腔室326。此外,升降机334可以将盒324升高或降低到机械臂可到达的高度。例如,一些机械臂具有有限的竖直运动或没有竖直运动。对于这样的具体实施方式,机械臂可以将基板定位到盒324的槽中,并且升降机334可以提升盒324以将基板提升离开机械臂的终端受动器并且将基板提升到槽的指状物或支座上。或者,升降机324可以将盒升高或降低到机械臂的竖直运动范围内,并且机械臂可以升高和/或降低,以从盒324移除基板或将基板放置在盒324上。
反应器门338可以定位在盒324和升降机334之间。在具体实施方式中,反应器门338被构造成用以在处理期间在壳体302和反应器腔室326之间建立密封。在一个具体实施方式中,这种密封也是真空密封。升降机334可以将反应器门338和保持在该升降机334上的盒324升高进入反应器腔室326中。反应器门338可包括在反应器门338的顶表面上围绕盒324的O形环或垫圈。升降机334可以将反应器门338的顶表面压靠在反应器腔室326的底表面上,压缩垫圈或O形环并且将反应器腔室326与连接批量脱气腔室320的主机或EFEM的内部密封隔开。
反应器腔室326可以对多个基板119进行主动脱气处理。例如,主动脱气处理经由加热的惰性气体处理或基于等离子体的处理或加热的惰性气体处理中的一种或组合从多个基板的表面去除湿气和污染物。
盒提升机321可以定位在壳体302内并且适于将盒324从壳体302移动到反应器腔室326中用于进行处理,并且,如上所述在处理之后将盒324回送到壳体302。
在各种具体实施方式中,气体和排放进出盖330提供用于输入气体管线和排放气体管线的接口,例如至少附接到反应器腔室326的排放管线328,以给湿气和污染物提供出口。排放气体管线可被引导至排放管道228、328或428,至基板处理系统100的外部。
图3B示出了根据各种具体实施方式的批量脱气腔室的反应器腔室326中的盒324的截面图。如图所示,盒324包括支座的棚架(scaffolding),每个支座限定了基板可以插入和抽出的槽。这些支座和槽可以根据盒324可以保持的基板的数量来编号,并且可以规则地间隔开,使得基板的表面暴露于处理气体和/或等离子体。
反应器腔室326可包括但不限于壁340,壁340包括沿壁340间隔开的多个分区加热器342。反应器腔室326可以包括附接到壁340的顶部的顶部加热器344和附接到壁340的底部的底部加热器346。这些分区加热器342、顶部加热器344和底部加热器346可以提供辐射热以产生快速升高的温度,以用于执行从基板119的表面去除湿气和污染物的主动处理。
反应器腔室326还可包括附接到顶部加热器344的多个气体输入阀352和多个气体输出阀356。反应器腔室326还可包括附接到多个气体输入阀352的多条气体输入管线362。多个气体输入阀352可以迫使被加热的惰性气体均匀地通过多条气体输入管线362。多条气体输入管线362的数量可以在例如四条与八条管线之间,并且跨盒324的高度而竖直地定向。多条气体输入管线362可以包括一系列孔363,这些孔363被编号并且竖直排列以迫使被加热的气体(例如惰性或非反应性气体)遍及盒324中的多个基板119中的每一个基板。来自多条输入气体管线362的气体也可以被加热(例如热流动惰性气体),提供除了由多个分区加热器342、顶部加热器344和底部加热器346产生的热量之外的传导热。
例如,由于辐射热和传导热导致的反应器腔室326内部环境的温度范围可以在80和450℃之间的范围。在150℃,热上升(heat ramp up)可以是每分钟约5-7℃。
将基板暴露于这种被加热的惰性气流,可以防止或减少暴露于污染物或其他不想要的条件(例如高湿度水平),并且当存在足够的流速V时,可使某些不想要的化学成分从基板119的表面脱气。例如,不想要的化学成分可以是含溴成分、含氯成分、含氟成分和类似成分中的一种或多种。由于净化气流的合适流速V和/或反应器腔室326内合适的温度水平,这些不想要的化学成分可以从基板119的表面分离并去除。太小的速度V或温度可能无法有效地分离不想要的化学成分。如果流速V太大,则可能导致大压强、高操作成本以及流过批量脱气腔室326的不均匀的流或非层流(non-laminar flow)。
反应器腔室326还可包括耦接到多个输出阀356的多条气体输出管线366。例如,多条气体输出管线366的数量可以在四与八条之间,并且也可以跨盒324的高度而竖直地定向。多条气体输出管线366可以包括一系列孔367,这些孔367被编号并且竖直排列,以将带有来自多个基板119中每一个基板的湿气和污染物的被加热的气体去除到附接到多个气体输出阀356的排放管线328(图3A)之外。在替代的具体实施方式中,多条气体输入管线362可以携带等离子体,等离子体可以被施加到基板119的表面以供后续的去除。等离子体可在基板119的表面产生化学反应以从基板119的表面去除污染物。
图4A-4B是描绘根据各种具体实施方式的在基板处理系统100内采用批量脱气腔室120和520的方法400的流程图。方法400可以由上文讨论和说明的批量脱气腔室120和520以及EFEM 114执行。在一些具体实施方式中,控制器106控制批量脱气腔室120,并使批量脱气腔室120致动升降机534、选择批量脱气配方、执行批量脱气配方等等,以控制基板的处理。
在操作405,方法400可以开始于将多个基板从前端开口舱(例如FOUP)移送到批量脱气腔室的盒。批量脱气腔室附接到装备前端模块(EFEM),或位于EFEM与基板处理系统的基于真空的主机之间。
在操作410,方法400可以继续将包括批量脱气腔室的盒的盒提升机从壳体提升到批量脱气腔室的反应器腔室中。可致动升降机构以将盒提升进入反应器腔室中并且密封反应器门抵靠反应器腔室,从而为反应器腔室建立封闭且密封的环境,此环境与批量脱气腔室所连接的EFEM或主机的环境不同。
在操作415,方法400可继续由反应器腔室对多个基板执行主动脱气处理。主动脱气处理从多个基板的表面去除湿气和污染物以产生脱气的基板。在一个具体实施方式中,主动脱气处理是加热的惰性气体处理,其中用反应器腔室壁中的分区加热器加热反应器腔室,例如以便产生辐射热,并且其中反应器腔室迫使净化(或加压)惰性气体遍及这些基板。加热的惰性气体的热量和流速的组合引起化学反应,清除基板表面的化学污染物和其他颗粒。在另一个具体实施方式中,主动脱气处理是基于等离子体的处理,其中等离子体沉积在基板的表面上,然后经由化学反应去除。还可以施加热量以促进和加速化学反应,以清除基板表面的化学污染物和其他颗粒。
在操作420,在主动脱气处理期间和/或在主动脱气处理完成之后,方法400可以继续从反应器腔室通过排放管线排出湿气和污染物。在操作425,方法400可以继续将带有盒的盒提升机降低回到脱气腔室的壳体中,然后FI机器人117可以取回这些基板。
继续参照图4B,在操作430,方法400可以继续将多个脱气的基板中的一个脱气的基板移送到与基于真空的主机接口连接的装载锁定腔室以进行处理。
在操作435,方法400可以继续等待一段时间,用于压强在基于真空的主机的缓冲腔室内升高。这段时间可以比基板尚未被首先脱气会需要的时间更短。在操作440,方法400可以继续将该脱气的基板从装载锁定腔室移送到缓冲腔室。
在操作445,方法400可以继续将该脱气的基板从缓冲腔室移送到清洁腔室中以对该脱气的基板执行预清洁,产生清洁的基板。如所讨论的那样,清洁腔室可以是基于APC或RPC的预清洁腔室之一。
在操作450,方法400可以继续将该清洁的基板移送到处理腔室中进行处理。该处理可以是基于等离子体气相沉积(PVD)的处理、基于化学气相沉积(CVD)的处理和类似处理。
前面的描述阐述了许多具体细节,诸如具体系统、部件、方法等的示例,以便提供对本公开内容的若干具体实施方式的良好理解。然而,对于本领域的技术人员将显而易见的是,可以在没有这些具体细节的情况下实践本公开内容的至少一些具体实施方式。在其他情况下,未详细描述公知的部件或方法,或者以简单的框图格式呈现公知的部件或方法,以避免不必要地使本公开内容令人费解。因此,阐述的具体细节仅是示例性的。特定的实施方案可以与这些示例性细节不同,并且仍然可以预期在本公开内容的范围内。
本说明书通篇对于“一个具体实施方式”或“具体实施方式”的引述,意指所描述的相关联于此具体实施方式的特定特征、结构或特性被包含在至少一个具体实施方式中。因此,在本说明书通篇各处出现的短语“在一个具体实施方式中”或“在具体实施方式中”不一定都指的是同一具体实施方式。此外,术语“或”旨在表示包含性的“或”而不是排他性的“或”。当在本文中使用术语“约”或“大致”时,这意在表示所呈现的标称值精确在±10%以内。
尽管以特定顺序示出和描述了本文这些方法的操作,但是可以改变每种方法的操作顺序,从而可以以相反的顺序执行某些操作,从而可以至少部分地与其他操作并行地执行某些操作。在另一个具体实施方式中,不同操作的指令或子操作可以以间歇和/或交替的方式进行。
应当理解,以上的描述旨在说明而不是限制。在阅读与了解以上的描述之后,许多其他的具体实施方式对于本领域技术人员来说将是显而易见的。因此,本公开内容的范围应参照所附的权利要求连同这些权利要求涵盖的等同物的全部范围一起来确定。

Claims (20)

1.一种基板处理系统,包括:
装备前端模块(EFEM),所述装备前端模块耦接到基于真空的主机,所述EFEM包括多个接口开口;以及
批量脱气腔室,所述批量脱气腔室在所述多个接口开口的一个接口开口处附接到所述EFEM,所述批量脱气腔室包括:
壳体,所述壳体密封到所述EFEM的所述一个接口开口;
盒,所述盒位于所述壳体内,所述盒被构造成用以保持多个基板;
反应器腔室,所述反应器腔室附接到所述壳体,所述盒能够插入所述反应器腔室中,所述反应器腔室用来对所述多个基板执行主动脱气处理,其中所述主动脱气处理从所述多个基板的表面去除湿气和污染物;和
排放管线,所述排放管线附接到所述反应器腔室用以为所述湿气和污染物提供出口。
2.如权利要求1所述的基板处理系统,其中所述EFEM是惰性EFEM,所述惰性EFEM包括对穿过所述EFEM的基板的惰性环境。
3.如权利要求1所述的基板处理系统,其中所述盒被构造成用以保持25个与75个之间的基板。
4.如权利要求1所述的基板处理系统,其中所述批量脱气腔室进一步包括盒提升机,所述盒提升机包括:
反应器门,所述反应器门在所述壳体内,所述盒附接到所述反应器门;和
升降机,所述升降机附接到所述反应器门的底部,所述升降机用以使所述盒移出所述壳体并且进入所述反应器腔室,并且其中所述反应器门在所述反应器腔室和所述壳体之间建立真空密封。
5.如权利要求1所述的基板处理系统,其中所述反应器腔室包括:
壁,所述壁包括沿所述壁间隔开的多个分区加热器;
顶部加热器,所述顶部加热器附接到所述壁的顶部;
底部加热器,所述底部加热器附接到所述壁的底部;
多条气体输入管线,所述多条气体输入管线包括一系列孔,所述系列孔被编号和排列成迫使被加热的气体遍及所述盒中的所述多个基板;和
多条气体输出管线,所述多条气体输出管线耦接到所述排放管线,所述多条气体输出管线包括一系列孔,所述系列孔被编号和排列以经由所述排放管线将具有湿气和污染物的所述被加热的气体从所述多个基板移除到所述批量脱气腔室之外。
6.如权利要求1所述的基板处理系统,其中所述主动脱气处理包括基于等离子体的处理或加热的惰性气体处理中的至少一种。
7.一种方法,包括以下步骤:
将多个基板从前开式标准舱移送到批量脱气腔室的盒,所述批量脱气腔室附接到装备前端模块(EFEM)或位于所述EFEM与基板处理系统的基于真空的主机之间;
将包含所述批量脱气腔室的所述盒的盒提升机从壳体提升到所述批量脱气腔室的反应器腔室中;
通过所述反应器腔室对所述多个基板执行主动脱气处理,其中所述主动脱气处理从所述多个基板的表面去除湿气和污染物以产生脱气的基板;
从所述反应器腔室通过排放管线排出所述湿气和污染物;和
将带有所述盒的所述盒提升机降低回到所述脱气腔室的所述壳体中。
8.如权利要求7所述的方法,所述方法进一步包括以下步骤:将所述脱气的基板中的一个脱气的基板移送到与所述基于真空的主机接口连接的装载锁定腔室以进行处理。
9.如权利要求8所述的方法,所述方法进一步包括以下步骤:
等待一段时间,用于压强在所述基于真空的主机的缓冲腔室内升高;
将所述一个脱气的基板从所述装载锁定腔室移送到所述缓冲腔室;
将所述一个脱气的基板从所述缓冲腔室移送到清洁腔室中以对所述一个脱气的基板执行预清洁,产生清洁的基板;以及
将所述清洁基板移送到处理腔室中进行处理。
10.如权利要求7所述的方法,其中移送所述一个脱气的基板的步骤包括以下步骤:移送所述一个脱气的基板通过所述EFEM的惰性环境。
11.如权利要求7所述的方法,其中执行所述主动脱气处理的步骤包括以下步骤:
在所述EFEM与所述批量脱气腔室之间建立密封;和
对所述反应器腔室内的所述多个基板执行基于等离子体的处理或加热的惰性气体处理中的至少一种。
12.如权利要求7所述的方法,其中将所述多个基板移送到所述批量脱气腔室的所述盒的步骤包括以下步骤:将25个与75个之间的基板移送到所述盒。
13.一种批量脱气腔室,包括:
壳体,所述壳体能密封到装备前端模块(EFEM)的接口开口和基板处理系统的基于真空的主机上的机面两者;
反应器腔室,所述反应器腔室附接到所述壳体,盒能插入所述反应器腔室中,所述盒用以保持多个基板,且所述反应器腔室对所述多个基板执行主动脱气处理,其中所述主动脱气处理从所述多个基板的表面去除湿气和污染物;
盒提升机,所述盒提升机定位在所述壳体内并且适于将所述盒从所述壳体移动到所述反应器腔室中以进行处理,并且在处理之后将所述盒回送到所述壳体;以及
排放管线,所述排放管线附接到所述反应器腔室用以为所述湿气和污染物提供出口。
14.如权利要求13所述的批量脱气腔室,所述批量脱气腔室进一步包括所述盒,其中所述盒被构造成用以保持25与75个之间的基板。
15.如权利要求13所述的批量脱气腔室,所述批量脱气腔室进一步包括:
所述壳体的第二接口开口,与所述EFEM的所述接口开口配合;和
密封件,附接到第二接口开口,其中所述密封件使得能够在所述壳体和所述反应器腔室内建立真空。
16.如权利要求13所述的批量脱气腔室,其中所述盒提升机包括:
反应器门,所述盒附接至所述反应器门,所述反应器门用以在处理期间在所述壳体与所述反应器腔室之间建立密封;以及
升降机,所述升降机附接至所述反应器门的底部,所述升降机用于将所述盒升降进入或离开所述反应器腔室。
17.如权利要求13所述的批量脱气腔室,其中所述主动脱气处理包括基于等离子体的处理或加热的惰性气体处理中的至少一种。
18.如权利要求13所述的批量脱气腔室,其中所述反应器腔室包括:
壁,所述壁包括沿所述壁间隔开的多个分区加热器;
顶部加热器,所述顶部加热器附接到所述壁的顶部;
底部加热器,所述底部加热器附接到所述壁的底部;
多条气体输入管线,所述多条气体输入管线包括一系列孔,所述系列孔被编号和排列成迫使被加热的气体遍及所述盒中的所述多个基板;和
多条气体输出管线,所述多条气体输出管线耦接到所述排放管线,所述多条气体输出管线包括一系列孔,所述系列孔被编号和排列以将具有湿气和污染物的所述被加热的气体从所述多个基板移除到所述排放管线之外。
19.如权利要求18所述的批量脱气腔室,其中所述被加热的气体包括惰性气体或清洁干燥空气中的一种。
20.如权利要求18所述的批量脱气腔室,其中所述多条气体输入管线和所述多条气体输出管线各自包括四条与八条之间的管线。
CN202180043296.3A 2020-06-19 2021-06-17 批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中 Pending CN115735271A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/946,407 2020-06-19
US16/946,407 US20210398824A1 (en) 2020-06-19 2020-06-19 Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe
PCT/US2021/037913 WO2021257889A1 (en) 2020-06-19 2021-06-17 Batch wafer degas chamber and integration into factory interface and vacuum-based mainframe

Publications (1)

Publication Number Publication Date
CN115735271A true CN115735271A (zh) 2023-03-03

Family

ID=79021963

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180043296.3A Pending CN115735271A (zh) 2020-06-19 2021-06-17 批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中

Country Status (6)

Country Link
US (1) US20210398824A1 (zh)
JP (1) JP2023530972A (zh)
KR (1) KR20230024415A (zh)
CN (1) CN115735271A (zh)
TW (1) TW202214908A (zh)
WO (1) WO2021257889A1 (zh)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100303075B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 집적회로 웨이퍼 이송 방법 및 장치
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
CN101061253B (zh) * 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US8716147B2 (en) * 2007-11-19 2014-05-06 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
KR101271248B1 (ko) * 2011-08-02 2013-06-07 주식회사 유진테크 에피택셜 공정을 위한 반도체 제조설비
US10247473B2 (en) * 2014-01-21 2019-04-02 Applied Materials, Inc. Methods and apparatus for processing a substrate to remove moisture and/or residue
KR101685096B1 (ko) * 2015-11-17 2016-12-12 주식회사 유진테크 기판처리장치 및 이를 이용한 기판처리방법
CN108885993B (zh) * 2016-03-24 2019-12-10 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
JP7235678B2 (ja) * 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
US10388547B2 (en) * 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates

Also Published As

Publication number Publication date
WO2021257889A1 (en) 2021-12-23
KR20230024415A (ko) 2023-02-20
US20210398824A1 (en) 2021-12-23
TW202214908A (zh) 2022-04-16
JP2023530972A (ja) 2023-07-20

Similar Documents

Publication Publication Date Title
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
US5961323A (en) Dual vertical thermal processing furnace
JP7365408B2 (ja) 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法
KR20090056919A (ko) 로드락 디자인 및 로드락을 사용하기 위한 방법
CN112912999A (zh) 高流速、气体净化的侧储存舱装置、组件和方法
US20030077150A1 (en) Substrate processing apparatus and a method for fabricating a semiconductor device by using same
JP2005527120A (ja) 半導体処理ツール内チャンバ間の相互汚染の減少
JP4306798B2 (ja) 基板キャリアおよびロードロック用ドア駆動装置
JP5247094B2 (ja) 基板処理システム
CN219998166U (zh) 一种密闭式晶圆盒装载口
JP2002359237A (ja) 基板処理装置および半導体装置の製造方法
CN115735271A (zh) 批量晶片脱气腔室以及整合到工厂接口和基于真空的主机中
JP3856726B2 (ja) 半導体製造装置
CN116525508B (zh) 一种密闭式晶圆盒装载口及其气体置换方法
US20230113673A1 (en) Factory interface robots usable with integrated load locks
JP2004023032A (ja) 半導体製造装置
KR20230063970A (ko) 기판 처리 장치 및 기판 처리 방법
TW202247319A (zh) 具有在軌道上移動的機器人的工廠介面上的裝載埠的數量增加
KR20230148250A (ko) 팩토리 인터페이스를 위한 단축된 로드 포트
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
JP2005093928A (ja) 基板処理装置
JP2002043389A (ja) 基板処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination