KR20080037659A - SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상 - Google Patents

SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상 Download PDF

Info

Publication number
KR20080037659A
KR20080037659A KR1020087001903A KR20087001903A KR20080037659A KR 20080037659 A KR20080037659 A KR 20080037659A KR 1020087001903 A KR1020087001903 A KR 1020087001903A KR 20087001903 A KR20087001903 A KR 20087001903A KR 20080037659 A KR20080037659 A KR 20080037659A
Authority
KR
South Korea
Prior art keywords
sige
germanium content
content
layer
thickness
Prior art date
Application number
KR1020087001903A
Other languages
English (en)
Other versions
KR101020014B1 (ko
Inventor
두레세티 치담바라오
토마스 엔 아담
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20080037659A publication Critical patent/KR20080037659A/ko
Application granted granted Critical
Publication of KR101020014B1 publication Critical patent/KR101020014B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/70Bipolar devices
    • H01L29/72Transistor-type devices, i.e. able to continuously respond to applied control signals
    • H01L29/73Bipolar junction transistors
    • H01L29/737Hetero-junction transistors
    • H01L29/7371Vertical transistors
    • H01L29/7378Vertical transistors comprising lattice mismatched active layers, e.g. SiGe strained layer transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

본 발명은 그 안에 SiGe-함유층을 포함한 베이스 영역을 갖는 고성능 이종접합 바이폴라 트랜지스터(HBT)에 관한 것이다. SiGe-함유층은 약 100 nm 두께 이하이며 미리 결정된 임계 게르마늄 함유량을 갖는다. SiGe-함유층은 미리 결정된 임계 게르마늄 함유량의 약 80% 이상의 평균 게르마늄 함유량을 더 갖는다. 본 발명은 또한, 베이스층이 100 nm 두께 이하인 것을 조건으로 하여, 그 안의 평균 게르마늄 함유량이 상기 베이스층의 두께에 기초하여 계산된 임계 게르마늄 함유량의 80% 이상이도록 베이스층의 게르마늄 함유량을 균일하게 증가시킴으로써, SiGe-함유 베이스층을 갖는 HBT의 캐리어 이동도를 높이기 위한 방법에 관한 것이다.

Description

SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상{MOBILITY ENHANCEMENT IN SiGe HETEROJUNCTION BIPOLAR TRANSISTORS}
본 발명은 SiGe-기반 이종접합 바이폴라 트랜지스터에 관한 것으로, 보다 상세하게는 이동도(mobility) 향상으로 인한 개선된 성능을 갖는 SiGe-기반 이종접합 바이폴라 트랜지스터에 관한 것이다. 본 발명은 또한 이러한 SiGe-기반 이종접합 바이폴라 트랜지스터를 제조하는 방법에 관한 것이다.
최근의 SiGe 이종접합 바이폴라 트랜지스터(HBT; heterojunction bipolar transistor) 디바이스에 있어서, 제조 공정에서 비교적 초기에 베이스 재료가 FEOL(front-end-of-line) 필름으로서 화학 기상 증착법(CVD) 또는 몰분자 빔 에피택시(MBE)에 의해 에피택셜 증착된다. 이는 합금 및 도펀트 둘 다의 특정한 베이스 프로파일에 맞출 수 있는 가능성을 제공하고, HBT 디바이스의 성능을 개선하기 위해 사용될 수 있는, 게르마늄 및 탄소와의 실리콘 합금의 가상(pseudomorphic) 성장을 허용한다.
구체적으로, 실리콘 결정 격자로의 치환형 게르마늄의 결합은 Ge 원자가 더 큰 원자 간격을 필요로 하기 때문에 재료에 압축 스트레인(compressive strain)을 생성한다. 이는 또한 재료의 밴드갭을 감소시킨다. 일부 SiGe-기반 이종접합 바이 폴라 트랜지스터(HBT) 디바이스에서는, Ge 함유량이 전체 베이스 영역(단일 직사각형 프로파일) 또는 그의 일부(계단형 프로파일)에 걸쳐 일정값으로 갑자기 증가한다. "그레이디드(graded)" SiGe HBT 디바이스에서, 베이스 영역에서의 Ge 함유량은 일정값이 아니며, 대신 이미터-베이스 접합부 부근의 낮은 Ge 농도로부터 베이스 영역 내로 깊어질수록 높은 Ge 농도로 증가함으로써 전자 흐름의 방향으로 밴드갭이 감소하는 드리프트(drift) 필드를 생성한다. HBT 디바이스의 이미터로부터 주입된 전자는 이미터-베이스 접합부에서의 낮은 Ge 농도로 인해 감소된 주입 장벽에 마주치고, 그 다음 베이스 영역 내로 깊어질수록 증가하는 Ge 함유량으로 인해 베이스 영역에 걸쳐 가속(accelerating) 필드를 경험하게 된다. 이미터-베이스 접합부에서의 낮은 Ge 함유량은 베이스로의 전자 주입을 증가시키고, 그에 따라 전류 이득을 증가시킨다. 베이스 영역의 Ge 그레이딩(grading)은 디바이스를 가로지르는 전자의 수송을 빠르게 하는 효과를 가지며, 그 결과 디바이스를 보다 빠른 속도의 성능으로 조정하는데 있어서 특히 중요한, 베이스를 통하는 주행 시간을 감소시킨다. 이러한 바람직한 Ge 그레이딩은 SiGe 필름 증착 동안 Ge 전구체 흐름의 시간-의존적 프로그래밍에 의해 용이하게 생성될 수 있다.
그러나 SiGe 필름 두께의 증가나 Ge 함유량의 증가로 인해, 가상 성장된 SiGe 필름의 스트레인이 임계 레벨에 도달하면, 그 스트레인은 더 이상 왜곡된 SiGe 결정 구조에 축적되는 탄성 에너지에 의해 포함될 수 없다. 대신에, 이종에피택셜 경계면에서의 부정합 전위(misfit dislocation)의 발생을 통해 스트레인 부분이 완화될 것이다. 따라서, 특정 Ge 함유량의 SiGe 필름에 대하여, SiGe 필름의 가 상 성장을 위한 최대 두께로서 정의되는 "임계 두께"가 존재하며, 이 두께 아래로는 Si와 Ge 사이의 격자 부정합에 의해 야기되는 스트레인이 결정 격자 왜곡으로 축적되는 탄성 에너지에 의해 포함되고, 이 두께를 넘으면 이종에피택셜 경계면에서의 부정합 전위의 발생을 통해 스트레인 부분이 완화된다. 마찬가지로, 특정 두께의 SiGe 필름에 대하여, 가상 SiGe 필름 내로 결합될 수 있는 최대 게르마늄 함유량으로서 정의되는 "임계 Ge 함유량"이 존재하며, 이 함유량 아래로는 Si와 Ge 사이의 격자 부정합에 의해 야기되는 스트레인이 결정 격자 왜곡으로 축적되는 탄성 에너지에 의해 포함되고, 이 함유량을 넘으면 이종에피택셜 경계면에서의 부정합 전위의 발생을 통해 스트레인 부분이 완화된다.
스트레인 완화로부터 생기는 전위 결함은 전기적으로 활성이고, 증가된 캐리어 산란, 캐리어 트래핑 및 캐리어 재결합을 야기할 수 있다. 따라서 과거에는 디바이스 구조에서 전위 결함의 형성을 피하기 위해 SiGe 베이스층의 Ge 함유량 및 총 두께가 임계값을 초과하지 않도록 주의하여 설계되었다.
수직 및 측방 둘 다의 방향으로 SiGe HBT 디바이스의 최근의 공격적인 스케일링은 베이스층 두께의 상당한 감소를 포함하여 디바이스 치수의 상당한 감소를 가져왔다. 더욱이, 최근의 고주파수 측정은 (예를 들어, 약 100 nm 이하의 두께를 갖는) 고성능 HBT의 초박형(ultra-thin) 베이스층을 통해 이동하는 캐리어가 이미 오늘날의 적극적인 Ge 그레이딩에서 포화 속도에 도달하였음을 보여준다. 즉, 초박형 베이스층의 증가된 Ge 그레이딩으로는 더 이상 캐리어 속도의 개선을 산출하지 못하는 것이다.
그 결과, 현재 기술 수준의 SiGe-기반 HBT 디바이스(Khater 등의 " SiGE HBT Technology with fMax/fT=350/300 GHz and Gate Delay Below 3.3 ps " IEEE Electron Devices Meeting , IEDM Technical Digest, 13-15 December 2004, pp . 247-250 참조)는 임계값보다 훨씬 낮은 Ge 함유량 및 두께를 갖는 베이스층을 갖는다.
본 발명은 HBT 디바이스의 베이스 영역의 2축(biaxial) 스트레인을 증가시키고, 이어서 베이스 영역에서의 캐리어 이동도를 증가시킴으로써, 현재 이용가능한 SiGe-기반 HBT 디바이스의 성능을 더욱 개선하고자 한다.
본 발명에서는 현재 이용가능한 SiGe-기반 HBT 디바이스의 초박형 베이스층의 Ge 함유량의 부가적인 증가가 더 이상 캐리어 이동도를 증가시키지는 않지만, 베이스 영역 부근의 2축 스트레인의 증가를 야기할 수 있고, 즉 기판 표면에 평행한 방향(즉, 측방 방향)을 따라 증가된 압축 스트레인 및 기판 표면에 수직한 방향(즉, 수직 방향)을 따라 증가된 인장 스트레인을 야기할 수 있으며, 이는 베이스 영역을 통하여 측방으로 흐르는 홀과, 베이스 영역을 수직으로 가로지르는 전자의 이동도를 향상시키도록 기능한다는 것을 발견하였다.
캐리어 베이스-주행 시간은 캐리어 속도 뿐만 아니라 캐리어 이동도에도 의존하기 때문에, 현재 이용가능한 SiGe-기반 HBT 디바이스의 캐리어 베이스-주행 시간은 이러한 HBT 디바이스의 초박형 베이스층의 Ge 함유량을 임계값에 가까이 증가시킴으로써 더 감소될 수 있다.
더욱이, SiGe-기반 HBT 디바이스의 베이스 저항도 또한 캐리어 이동도에 의존하므로, 베이스층 Ge 함유량을 임계값에 가까이 증가시키는 것은 베이스 저항을 감소시키기 위해서도 사용될 수 있다.
일 양상으로, 본 발명은 콜렉터 영역, 베이스 영역, 외인성(extrinsic) 베이스 영역 및 이미터 영역을 포함하는 HBT 디바이스에 관한 것이다. HBT 디바이스의 베이스 영역은 초박형 SiGe-함유층을 포함하며, 즉 약 100 nm 이하의 두께를 갖는 초박형 SiGe-함유층을 포함한다. 이러한 초박형 SiGe-함유층에 대하여 임계 게르마늄 함유량이 그 두께에 기초하여 미리 결정될 수 있고, SiGe-함유층은 미리 결정된 임계 게르마늄 함유량의 약 80% 이상의 평균 게르마늄 함유량을 갖는 게르마늄 함유량 프로파일을 갖도록 마련되어 구성된다.
바람직하게는, 초박형 SiGe-함유층의 평균 게르마늄 함유량은 미리 결정된 임계 게르마늄 함유량의 90% 이상이고, 보다 바람직하게는 95% 이상이고, 더욱 보다 바람직하게는 99% 이상이다. 가장 바람직하게는, 초박형 SiGe-함유층의 평균 게르마늄 함유량은 미리 결정된 임계 게르마늄 함유량과 실질적으로 동일하다(즉, ± 0.1% 차이를 가짐).
초박형 SiGe-함유층에 대한 임계 게르마늄 함유량은 이하 상세하게 기재되는 바와 같이 다양한 종래 공지된 방법에 의해 용이하게 계산될 수 있고, 본 발명은 전위 발생의 위험을 최소화하도록 SiGe-함유층의 실제 게르마늄 함유량을 제어하기 위한 평균 계산된 임계 게르마늄 함유량을 선택한다. 예를 들어, 약 50 nm 두께의 SiGe-함유층에 대하여, 계산된 임계 게르마늄 함유량은 약 16 원자% 내지 약 18 원자% 사이이며, 본 발명에서는 미리 결정된 임계 게르마늄 함유량으로서 17 원자%의 평균값이 선택된다. 또 다른 예를 들면, 100 nm 두께의 SiGe 함유층의 계산된 임계 게르마늄 함유량은 약 9 원자% 내지 약 11 원자% 사이이며, 본 발명의 실시를 위해 미리 결정된 임계 게르마늄 함유량으로서 10 원자%의 평균값이 선택된다.
본 발명의 초박형 SiGe-함유층은 평평한 Ge 함유량 프로파일(즉, 전체 SiGe-함유층에 걸쳐 실질적으로 균일한 Ge 함유량이 제공됨), 다단계(multi-step) Ge 함유량 프로파일(즉, 전체 SiGe-함유층에 걸쳐 다수의 평탄역이 제공됨) 또는 그레이디드 Ge 함유량 프로파일(즉, SiGe-함유층에서 Ge 함유량이 변화함)을 가질 수 있다. 여기서 사용되는 바와 같은 용어 "Ge 함유량 프로파일" 또는 "게르마늄 함유량 프로파일"은 구조의 두께 또는 깊이의 함수로서 구조 내 게르마늄 함유량의 플롯을 언급한다. 바람직하게는, 초박형 SiGe-함유층은 그레이디드 Ge 함유량 프로파일을 가지며, 이는 규칙적이거나 불규칙적인 임의의 적당한 형태를 가질 수 있다. 예를 들어, 이러한 초박형 SiGe-함유층은 삼각형 Ge 함유량 프로파일 또는 사다리꼴형 Ge 함유량 프로파일을 가질 수 있다.
단순하거나(즉, 계단형) 또는 복잡한(그레이디드) SiGe-함유층에 대하여, "평균 Ge 함유량"은 먼저 전체 SiGe-함유층에 걸쳐 Ge 함유량을 적분하여 층의 총 Ge 함유량 또는 적분된 Ge 함유량을 결정한 다음, 적분된 Ge 함유량을 층의 두께로 나눔으로써 결정된다. 이러한 SiGe-기반 HBT 디바이스의 베이스층에서의 평균 Ge 함유량이 베이스층의 두께에 대응하는 임계 Ge 함유량 이하로 남아있는 한, SiGe-기반 HBT는 HBT 디바이스를 완성하기 위해 필요한 부가적인 고온의 처리 단계에서 안정적인 것으로 발견된다. 임계 Ge 함유량은 예를 들어, 이하 상세하게 기재될 메튜/블레이크슬리 라인(MBL; Matthew/Blakeslee line)으로부터 용이하게 결정될 수 있다. 또한, 초고진공 화학 기상 증착법(UHVCVD: ultra-high vacuum chemical vapor deposition) 및 고온 베이크(bake)-조건의 원격 플라즈마-강화 화학 기상 증착법(RPCVD: remote plasma-enhanced chemical vapor deposition)과 같은 특정 증착 기술은 SiGe-함유 베이스층이 임계 Ge 함유량에 매우 가까운(95%보다 많은) 평균 Ge 함유량으로 증착될 수 있게 한다.
다른 양상으로, 본 발명은 약 50 nm 이하의 두께를 가지며, 약 16.5 원자% 내지 약 17.5 원자% 범위의 평균 게르마늄 함유량을 갖는 게르마늄 함유량 프로파일을 갖는 SiGe-함유 베이스층을 포함하는 이종접합 바이폴라 트랜지스터에 관한 것이다.
부가적인 양상으로, 본 발명은 초박형 SiGe-함유 베이스층을 갖는 이종접합 바이폴라 트랜지스터에서 베이스층의 준정적(quasi-static) 드리프트 필드를 변화하지 않고 캐리어 이동도를 향상하는 방법에 관한 것이다. SiGe-함유층의 준정적 드리프트 필드는 SiGe-함유층에서 Ge 함유량 프로파일의 형상 또는 Ge-그레이딩 비율에 의존하지만, 절대적인 Ge 함유량에 의존하는 것은 아니다.
따라서, 베이스층의 임계 Ge 함유량에 가까이 도달하기 위해 초박형 SiGe-함유 베이스층에 걸친 Ge 함유량의 균일한 증가가 사용될 수 있고, 그에 의해 베이스 층에서의 2축 스트레인 및 캐리어 이동도를 최대화할 수 있지만, 이는 Ge 함유량 프로파일의 형상 또는 Ge-그레이딩 비율을 변화시키지 않고, 따라서 베이스 층의 동일한 준정적 드리프트 필드를 유지하게 된다.
일 실시예에서, 본 발명의 방법은:
SiGe-함유 베이스층의 두께를 측정하는 단계;
상기 SiGe-함유 베이스층의 두께에 기초하여 임계 게르마늄 함유량을 계산하는 단계;
상기 SiGe-함유 베이스층의 게르마늄 함유량을 측정하여 상기 SiGe-함유 베이스층의 게르마늄 함유량 프로파일을 결정하는 단계; 및
상기 SiGe-함유 베이스층의 게르마늄 함유량 프로파일을 변경하는 단계로서, 상기 변경된 게르마늄 함유량 프로파일이 상기 계산된 임계 게르마늄 함유량의 약 80% 이상의 평균 게르마늄 함유량을 갖도록 충분한 양만큼 상기 SiGe-함유 베이스층의 게르마늄 함유량을 균일하게 증가시킴으로써 변경하는 단계
를 포함한다.
또 다른 양상으로, 본 발명은:
SiGe-기반 HBT 디바이스의 SiGe-함유 베이스층에 대한 설계 두께 및 설계 게르마늄 프로파일을 결정하는 단계로서, 상기 설계 두께는 약 100nm 이하인 것인, 결정 단계;
상기 설계 두께에 기초하여 임계 게르마늄 함유량을 계산하고, 상기 설계 게르마늄 프로파일 및 상기 임계 게르마늄 함유량에 기초하여 평균 게르마늄 함유량을 계산하는 단계로서, 상기 평균 게르마늄 함유량은 상기 임계 게르마늄 함유량의 80% 이상인 것인, 계산 단계;
반도체 기판에 상기 HBT 디바이스를 위한 콜렉터를 형성하는 단계;
상기 콜렉터 상에 상기 설계 두께, 상기 설계 게르마늄 프로파일 및 상기 계산된 평균 게르마늄 함유량을 갖는 SiGe-함유 베이스층을 증착하는 단계; 및
상기 HBT 디바이스를 위한 외인성 베이스 및 이미터를 형성하는 단계
에 의해 고성능 SiGe-기반 HBT 디바이스를 제조하는 방법을 제공한다.
설계 두께 및 설계 게르마늄 프로파일은 이론적 밴드 구조 계산 및 이력(historical) 베이스 프로파일 스케일링에 의해 용이하게 결정될 수 있으며, 이는 당해 기술 분야에 공지되어 있으므로 여기서는 상세하게 기재되지 않는다. 바람직하게는, 설계 게르마늄 프로파일은 베이스층에 걸친 게르마늄 그레이딩을 제공하고, 이는 베이스층을 가로질러 캐리어를 가속하는 준정적 드리프트 필드를 확립한다.
다음의 개시 및 첨부 도면으로부터 본 발명의 다른 양상, 특징 및 이점이 보다 충분히 명백하게 될 것이다.
도 1은 예시적인 종래 기술의 SiGe-기반 HBT 디바이스의 단면도이다.
도 2는 SiGe-기반 HBT 디바이스에 대한 두 개의 종래 기술의 베이스 Ge 함유량 프로파일을 도시한다.
도 3은 본 발명의 일 실시예에 따라, 종래 기술의 베이스 Ge 함유량 프로파일과 비교하여, 고성능 SiGe HBT 디바이스를 위해 개선된 베이스 Ge 함유량 프로파일을 도시한다.
도 4는 본 발명의 일 실시예에 따라, 종래 기술의 베이스 Ge 함유량 프로파일과 비교하여, 고성능 SiGe HBT 디바이스를 위해 다른 개선된 베이스 Ge 함유량 프로파일을 도시한다.
도 5는 본 발명의 일 실시예에 따라, 종래 기술의 베이스 Ge 함유량 프로파일과 비교하여, 고성능 SiGe HBT 디바이스를 위해 또 다른 개선된 베이스 Ge 함유량 프로파일을 도시한다.
도 6은 SiGe-함유층의 두께에 기초하여 SiGe-함유층에 대한 임계 Ge 함유량을 결정하는 데 사용될 수 있는 매튜-블레이크슬리 곡선을 도시한다.
도 1에는 딥 트렌치 소자분리(deep trench isolation) 및 T형 이미터를 갖는 통상적인 SiGe-기반 HBT가 도시되어 있다. 구체적으로, 도 1은 두 개의 쉘로우(shallow) 트렌치 소자분리 영역(14R 및 14L) 사이에 위치되는, 적어도 콜렉터(12)를 포함하는 반도체 구조(10)를 포함한다. 도면의 좌측에 14L로 표시된 쉘로우 트렌치 소자분리 영역은 쉘로우 트렌치의 기저벽 표면으로부터 연장하는 딥 트렌치(16)를 갖는다. 도 1에 도시된 반도체 구조는 또한 트렌치 소자분리 영역 및 콜렉터(12)의 위에 위치되는 제1 에피택셜 실리콘층(18), SiGe 베이스(20), 및 제2 에피택셜 실리콘층(22)을 포함한다. 도 1에 도시된 구조는 또한 SiGe 베이스(20) 위에 위치된 제2 에피택셜 실리콘층(22)의 표면을 노출하도록 패터닝된 외인성 베이스(24) 및 산화물층(26)을 포함한다. 질화물 스페이서(28)가 산화물층(26) 및 외인성 베이스(24)의 측벽에 위치된다. 도 1에 도시된 바와 같이 구조에 T형 이미 터(30)가 제공된다.
도 1a에 도시된 HBT는 당해 기술 분야에 주지된 바와 같은 종래의 바이폴라 처리 기술을 이용하여 제조된다. 예를 들어, 특히 SiGe로 구성된 이종접합 Si-함유 베이스는 절연 산화물에 의해 둘러싸인 콜렉터 페디스털(pedestal) 상에 에피택셜 성장된다.
에피택셜 성장 동안, (지수형 또는 다항식의 경사를 갖는) 복잡한 붕소, 게르마늄 및 탄소 함유량 프로파일이 전구체 흐름의 시간 의존적 프로그래밍에 의해 용이하게 생성될 수 있다. 트랜지스터의 다른 중성 베이스 영역을 가로질러 캐리어를 가속하여 주행 시간을 급격하게 감소시키는 내재된 드리프트 필드를 생성하기 위해 그레이디드 게르마늄 함유량 프로파일이 바람직하다.
종래의 SiGe-기반 HBT 디바이스에서 게르마늄 함유량 프로파일 및 게르마늄 그레이딩은 SiGe 베이스층의 임계 두께 및 임계 게르마늄 함유량에 의해 제한적으로 사용되었지만, SiGe HBT 디바이스의 최근의 적극적인 스케일링은 베이스층 두께의 상당한 감소를 포함하여 디바이스 치수의 상당한 감소를 가져왔다. 더욱이, 최근의 연구로는 초박형 베이스층을 통하여 이동하는 캐리어가 이미 오늘날의 보통 Ge 그레이딩에서 포화 속도에 도달하였고 초박형 베이스층에서 증가되는 Ge 그레이딩이 더 이상 캐리어 속도의 개선을 산출하지 않음을 보여주기 때문에, 현재 이용가능한 SiGe-기반 HBT 디바이스는 임계값보다 훨씬 낮은 베이스 Ge 함유량을 갖는다.
도 2는 현재 이용가능한 SiGe-기반 HBT 디바이스의 두 개의 예시적인 그레이 디드 Ge 프로파일을 도시한다. 이들 두 개의 그레이디드 Ge 프로파일에 대한 평균 Ge 함유량(각각 xA1 및 xA2)은 이러한 디바이스의 초박형 SiGe 베이스층의 임계 Ge 함유량(xC)보다 훨씬 낮다.
본 발명에서는 현재 이용가능한 SiGe-기반 HBT 디바이스의 초박형 베이스층의 Ge 함유량의 부가적인 증가가 더 이상 캐리어 속도를 증가시키지는 않지만, 베이스 영역 부근의 2축 스트레인의 증가를 야기시킴으로써, 베이스 영역의 캐리어 이동도를 향상시키고 SiGe-기반 HBT 디바이스의 캐리어 베이스-주행 시간 뿐만 아니라 베이스 저항을 감소시킬 수 있음을 발견하였다.
따라서, 본 발명은 캐리어 이동도를 증가시키고 베이스 저항 및 중성 베이스 영역을 통하는 캐리어 주행 시간을 더 감소시키기 위해, SiGe HBT의 초박형 베이스 영역의 임계 근접 평균 Ge 함유량을 이용한다. 본 발명에 의해 기재되는 방법은 기존의 SiGe HBT 디바이스의 성능을 변경 및 개선하고, 또는 처음부터 고성능 SiGe HBT 디바이스를 제조하는 데 사용될 수 있다.
기존의 SiGe HBT의 초박형 베이스 영역의 그레이디드 Ge 함유량 프로파일에 의해 생성되는 동일한 드리프트 필드를 유지하기 위해, 본 발명은 SiGe 베이스층의 평균 게르마늄 함유량이 임계 게르마늄 함유량의 80%에 근접하거나 적어도 가깝도록 충분한 양만큼 기존의 HBT 디바이스의 SiGe 베이스 층의 게르마늄 함유량을 균일하게 증가시킴으로써, 기존의 SiGe HBT의 변경을 제안한다.
도 3은 본 발명의 일 실시예에 따라, 초박형 베이스 영역을 갖는 기존의 SiGe HBT 디바이스의 그레이디드 Ge 함유량 프로파일(12)의 Ge 함유량을 균일하게 증가시킴으로써 생성되는 그레이디드 Ge 함유량 프로파일(14)을 도시한다. 증가된 Ge 함유량은 △x로 칭하고, 새로운 그레이디드 Ge 함유량 프로파일(14)에서의 평균 Ge 함유량(xA)은 종래 기술의 Ge 함유량 프로파일(12)에서의 평균 Ge 함유량(도시되지 않음)보다 임계 게르마늄 함유량(xC)에 상당히 가깝다.
마찬가지로, 도 4는 본 발명의 일 실시예에 따라, 초박형 베이스 영역을 갖는 기존의 SiGe HBT 디바이스의 종래 기술의 그레이디드 Ge 함유량 프로파일(22)에서의 Ge 함유량을 (△x 만큼) 균일하게 증가시킴으로써 생성되는 그레이디드 Ge 함유량 프로파일(24)을 도시한다. 새로운 그레이디드 Ge 함유량 프로파일(14)에서의 평균 Ge 함유량(xA)은 종래 기술의 Ge 함유량 프로파일(12)에서의 평균 Ge 함유량(도시되지 않음)보다 임계 게르마늄 함유량(xC)에 상당히 가깝다.
도 5는 본 발명의 다른 실시예에 따라, 기존의 SiGe HBT의 초박형 SiGe 베이스 영역 및 초박형 SiGe 베이스의 측면을 접하는 두 개의 에피택셜 실리콘 층(즉, 도 1의 층 18 및 22) 모두의 Ge 함유량을 △x 만큼 균일하게 증가시킴으로써 생성되는 다른 그레이디드 Ge 함유량 프로파일(34)을 도시한다. 두 개의 에피택셜 실리콘 층에서의 Ge 함유량 증가는 도 5의 램프(ramp)(36a 및 36b)로 나타내고, 새로운 그레이디드 Ge 함유량 프로파일(34)에서의 평균 Ge 함유량(xA)은 종래 기술의 Ge 함유량 프로파일(32)에서의 평균 Ge 함유량(도시되지 않음)보다 임계 게르마늄 함유 량(xC)에 상당히 가깝다.
따라서, Ge 함유량의 증가는 이러한 초박형 SiGe 베이스의 측면을 접하는 에피택셜 실리콘층이 그 안에 Ge가 거의 없거나 아예 없이 본질적으로 실리콘으로 구성되도록 초박형 SiGe 베이스 영역에만 한정될 수 있고, 또는 측면을 접하는 에피택셜 실리콘층으로도 연장되어 연장된 SiGe 에피택셜 베이스 영역을 형성할 수 있다.
본 발명은 트랜지스터의 베이스 저항을 감소시키며 SiGe-기반 HBT 디바이스의 캐리어 이동도를 향상시키는 방법을 제공한다. 본 발명에 따르면, 캐리어 이동도 향상은 통상적으로 바이폴라 트랜지스터와 연관되는 드리프트 필드에 부정적인 영향을 미치지 않고 HBT 디바이스의 초박형 베이스 영역의 Ge 프로파일을 변경함으로써 달성된다.
보다 구체적으로, 본 발명은 SiGe HBT 디바이스의 초박형 베이스 영역의 Ge 함유량 프로파일이 측방 압축 및 수직 인장 스트레인의 동시 인가를 제공하도록 변경되는 방법을 제공한다. 본 발명에 의해 기재되는 바와 같은 Ge 함유량 프로파일의 이러한 변화는 초박형 베이스 영역의 소정량의 Ge 그레이딩에 의해 생성되는 준정적 드리프트 필드에 부정적으로 영향을 미치거나 이를 실질적으로 바꾸지 않는다. 베이스 Ge 함유량 프로파일에 균일한 양의 추가적인 Ge를 추가하고 초박형 베이스 영역의 평균 Ge 함유량을 임계값에 가까이 증가시킴으로써, 내부 2축 층 스트레인은 명백하고 준안정한 완화 임계점까지 매우 증가될 수 있다.
특정 두께의 SiGe 베이스 층에 대한 임계 Ge 함유량은 J.C.Bean 등의 "GexSi1 -x/Si Strained-Layer Superlattice Grown by Molecular Beam Epitaxy," J. VAC. SCI. TECHNOL., Vol. A2, No.2, pp. 436-440 (1984); J. H. van der Merwe의 "Crystal Interfaces. Part I. Semi-Infinite Crystals," J. APPL. PHYS., Vol. 34, No. 1, pp. 117-122 (1963); J. M. Matthews 및 A.E. Blakeslee의 "Defects in Epitaxial Multilayers I. Misfit Dislocations in Layers," J. CRYSTAL GROWTH, Vol.27, pp. 118-125 (1974); S. S. Iyer 등의 "Heterojunction Bipolar Transistors Using Si- Ge Alloys," IEEE TRANSACTIONS ON ELECTRON DEVICES, Vol. 36, No. 10 (October 1989); R.H.M. van der Leur 등의 "Critical Thickness for Pseudomorphic Growth of Si/Ge Alloys and Superlattice," J. APPL. PHYS., Vol. 64, No. 5, pp. 3043-3050 (15 September 1988); 및 D.C. Houghton 등의 "Equilibrium Critical Thickness for Si1-XGex Strained Layers on (100) Si," APPL. PHYS. LETT., Vol. 56, No. 5, pp. 460-462 (29 January 1990)에 기재된 바와 같이, 다양한 방법에 의해 용이하게 결정될 수 있다.
도 6은 SiGe 필름의 특정 두께가 주어지면 임계 Ge 함유량을 결정하는 데 용이하게 사용될 수 있는, SiGe-함유 필름의 임계 두께를 그 안의 Ge 함유량과 상관시키는 매튜-블레이크슬리 곡선을 도시한다.
상이한 방법을 사용함으로써 계산된 임계 Ge 함유량은 사용되는 서로 다른 모델과 고려되는 서로 다른 파라미터로 인해 서로 조금씩 다를 수 있다. 본 발명은 SiGe-함유층의 실제 게르마늄 함유량을 제어하기 위한 평균 계산된 임계 게르마늄 함유량을 선택한다. 예를 들어, 약 50 nm 두께의 SiGe-함유층에 대하여, 계산된 임계 게르마늄 함유량은 약 16 원자% 내지 약 18 원자% 사이이며, 본 발명에서는 17 원자%의 값이 미리 결정된 임계 게르마늄 함유량으로서 선택된다. 또 다른 예를 들면, 100nm 두께의 SiGe-함유층의 계산된 임계 게르마늄 함유량이 약 9 원자% 내지 약 11 원자% 사이이고, 본 발명의 실시를 위해 10 원자%의 값이 미리 결정된 임계 게르마늄 함유량으로서 선택된다.
바람직하게는, 임계 근접 Ge 함유량을 갖는 초박형 SiGe 베이스층은, 잘 구축된 프로세스 제어를 가지며 반복될 수 있고 배치(batch) 프로세싱 및 대규모 제조에 적당한 것으로 증명된 화학 기상 증착법(CVD)에 의해 가상 성장된다. 또한, CVD 프로세스는 플라즈마 처리를 요구하지 않으며, 치환형 Ge 원자가 전기적으로 비활성이고, 밴드 구조에서의 미세 변화를 제외하고 베이스층의 극히 낮은 오염 레벨을 보장한다.
본 발명은 이의 바람직한 실시예에 대하여 구체적으로 도시되고 기재되었지만, 당해 기술 분야에서 숙련된 자라면 형태 및 세부사항의 전술한 변화 및 다른 변화가 본 발명의 사상 및 범위를 벗어나지 않고서 이루어질 수 있음을 이해할 것이다. 따라서 본 발명은 기재되고 설명된 정확한 형태 및 세부사항에 한정되지 않고, 첨부되는 청구범위의 범위 내에 속하는 것으로 의도된다.

Claims (10)

  1. 콜렉터 영역, 베이스 영역, 외인성 베이스 영역 및 이미터 영역을 포함하는 이종접합 바이폴라 트랜지스터로서,
    상기 베이스 영역은 SiGe-함유층을 포함하고, 상기 SiGe-함유층은 약 100nm 이하의 두께 및 상기 두께와 연관된 미리 결정된 임계 게르마늄 함유량을 갖고, 상기 SiGe-함유층은 상기 미리 결정된 임계 게르마늄 함유량의 약 80% 이상의 평균 게르마늄 함유량을 갖는 게르마늄 함유량 프로파일을 갖는 것인, 이종접합 바이폴라 트랜지스터.
  2. 청구항 1에 있어서,
    상기 SiGe-함유층의 게르마늄 함유량 프로파일은 계단형(stepped) 또는 그레이디드형(graded)이고, 상기 SiGe-함유층의 평균 게르마늄 함유량은 전체 SiGe-함유층에 걸쳐 게르마늄 함유량을 적분하여 상기 SiGe-함유층의 적분된 게르마늄 함유량을 결정하고 상기 적분된 게르마늄 함유량을 상기 SiGe-함유층의 두께로 나눔으로써 결정되는 것인, 이종접합 바이폴라 트랜지스터.
  3. 청구항 1에 있어서,
    상기 SiGe-함유층의 상기 평균 게르마늄 함유량은 상기 미리 결정된 임계 게르마늄 함유량의 약 90% 이상인 것인 이종접합 바이폴라 트랜지스터.
  4. 청구항 1에 있어서,
    상기 SiGe-함유층의 상기 미리 결정된 임계 게르마늄 함유량은 약 10 원자% 이상인 것인 이종접합 바이폴라 트랜지스터.
  5. 청구항 1에 있어서,
    상기 SiGe-함유층은 약 50nm 이하의 두께를 가지며, 상기 SiGe-함유층의 미리 결정된 임계 게르마늄 함유량은 약 17 원자% 이상인 것인 이종접합 바이폴라 트랜지스터.
  6. 청구항 1에 있어서,
    상기 베이스 영역은 두 개의 에피택셜 반도체층을 포함하고, 상기 SiGe-함유층은 상기 두 개의 에피택셜 반도체층 사이에 개재되는 것인 이종접합 바이폴라 트랜지스터.
  7. 청구항 6에 있어서,
    상기 두 개의 에피택셜 반도체층은 둘 다 본질적으로 실리콘으로 구성되는 것인 이종접합 바이폴라 트랜지스터.
  8. 이종접합 바이폴라 트랜지스터로서,
    약 50nm 이하의 두께를 가지며, 약 16.5 원자% 내지 약 17.5 원자% 범위의 평균 게르마늄 함유량을 갖는 게르마늄 함유량 프로파일을 갖는 SiGe-함유 베이스층을 포함하는 이종접합 바이폴라 트랜지스터.
  9. 약 100 nm 이하 두께의 SiGe-함유 베이스층을 갖는 이종접합 바이폴라 트랜지스터에서 상기 베이스층의 준정적(quasi-static) 드리프트 필드를 변화시키지 않고 캐리어 이동도를 향상시키는 방법으로서,
    상기 SiGe-함유 베이스층의 두께를 측정하는 단계;
    상기 SiGe-함유 베이스층의 두께에 기초하여 임계 게르마늄 함유량을 계산하는 단계;
    상기 SiGe-함유 베이스층의 게르마늄 함유량을 측정하여 상기 SiGe-함유 베이스층의 게르마늄 함유량 프로파일을 결정하는 단계: 및
    상기 SiGe-함유 베이스층의 게르마늄 함유량 프로파일을 변경하는 단계로서, 상기 변경된 게르마늄 함유량 프로파일이 상기 계산된 임계 게르마늄 함유량의 약 80% 이상의 평균 게르마늄 함유량을 갖도록 충분한 양만큼 상기 SiGe-함유 베이스층의 게르마늄 함유량을 균일하게 증가시킴으로써 변경하는 것인, 상기 SiGe-함유 베이스층의 게르마늄 함유량 프로파일 변경 단계
    를 포함하는 이종접합 바이폴라 트랜지스터에서의 캐리어 이동도 향상 방법.
  10. SiGe-함유 베이스층을 갖는 이종접합 바이폴라 트랜지스터를 제조하는 방법 으로서,
    SiGe-기반 HBT 디바이스의 상기 SiGe-함유 베이스층에 대한 설계 두께 및 설계 게르마늄 프로파일을 결정하는 단계로서, 상기 설계 두께는 약 100 nm 이하인 것인, 결정 단계;
    상기 설계 두께에 기초하여 임계 게르마늄 함유량을 계산하고, 상기 설계 게르마늄 프로파일 및 상기 임계 게르마늄 함유량에 기초하여 평균 게르마늄 함유량을 계산하는 단계로서, 상기 평균 게르마늄 함유량은 상기 임계 게르마늄 함유량의 80% 이상인 것인, 계산 단계;
    반도체 기판에 상기 SiGe-기반 HBT 디바이스를 위한 콜렉터를 형성하는 단계;
    상기 콜렉터 상에 상기 설계 두께, 상기 설계 게르마늄 프로파일, 및 상기 계산된 평균 게르마늄 함유량을 갖는 SiGe-함유 베이스층을 증착하는 단계; 및
    상기 SiGe-기반 HBT 디바이스를 위한 외인성 베이스 및 이미터를 형성하는 단계
    를 포함하는 이종접합 바이폴라 트랜지스터 제조 방법.
KR1020087001903A 2005-08-26 2006-08-25 SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상 KR101020014B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/212,187 2005-08-26
US11/212,187 US7544577B2 (en) 2005-08-26 2005-08-26 Mobility enhancement in SiGe heterojunction bipolar transistors

Publications (2)

Publication Number Publication Date
KR20080037659A true KR20080037659A (ko) 2008-04-30
KR101020014B1 KR101020014B1 (ko) 2011-03-09

Family

ID=37772509

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087001903A KR101020014B1 (ko) 2005-08-26 2006-08-25 SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상

Country Status (7)

Country Link
US (2) US7544577B2 (ko)
EP (1) EP1917682A4 (ko)
JP (2) JP5400382B2 (ko)
KR (1) KR101020014B1 (ko)
CN (1) CN101589460B (ko)
TW (1) TWI394275B (ko)
WO (1) WO2007025259A2 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US20080142836A1 (en) * 2006-12-15 2008-06-19 Darwin Gene Enicks Method for growth of alloy layers with compositional curvature in a semiconductor device
US20130313614A1 (en) * 2012-05-22 2013-11-28 Tsinghua University METAL SILICIDE SELF-ALIGNED SiGe HETEROJUNCTION BIPOLAR TRANSISTOR AND METHOD OF FORMING THE SAME
RU2507633C1 (ru) * 2012-09-24 2014-02-20 Федеральное Государственное Унитарное Предприятие "Научно-Производственное Предприятие "Пульсар" Биполярный транзистор на основе гетероэпитаксиальных структур и способ его изготовления
CN103441141B (zh) * 2013-07-29 2016-08-10 北京工业大学 超宽温区高热稳定性微波功率SiGe异质结双极晶体管
US9691898B2 (en) 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9287398B2 (en) 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9312370B2 (en) * 2014-06-10 2016-04-12 Globalfoundries Inc. Bipolar transistor with extrinsic base region and methods of fabrication
CN105679645A (zh) * 2014-11-17 2016-06-15 上海华力微电子有限公司 嵌入式锗硅外延位错缺陷的改善方法
GB201502306D0 (en) * 2015-02-12 2015-04-01 Hansa Medical Ab Protein
US10734490B1 (en) 2019-03-22 2020-08-04 International Business Machines Corporation Bipolar junction transistor (BJT) with 3D wrap around emitter
TWI755694B (zh) 2020-03-12 2022-02-21 力晶積成電子製造股份有限公司 半導體元件及其製造方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
JP2600485B2 (ja) * 1990-11-28 1997-04-16 日本電気株式会社 半導体装置
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5352912A (en) * 1991-11-13 1994-10-04 International Business Machines Corporation Graded bandgap single-crystal emitter heterojunction bipolar transistor
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
JPH09260397A (ja) * 1996-03-25 1997-10-03 Hitachi Ltd 半導体回路およびバイポーラトランジスタ
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
CN1053527C (zh) * 1996-05-14 2000-06-14 电子科技大学 绝缘栅异质结双极晶体管
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6251738B1 (en) * 2000-01-10 2001-06-26 International Business Machines Corporation Process for forming a silicon-germanium base of heterojunction bipolar transistor
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6362065B1 (en) * 2001-02-26 2002-03-26 Texas Instruments Incorporated Blocking of boron diffusion through the emitter-emitter poly interface in PNP HBTs through use of a SiC layer at the top of the emitter epi layer
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6780735B2 (en) * 2001-04-30 2004-08-24 International Business Machines Corporation Method to increase carbon and boron doping concentrations in Si and SiGe films
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
AU2002349881A1 (en) * 2001-09-21 2003-04-01 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
CN1204616C (zh) * 2001-12-20 2005-06-01 国际商业机器公司 用sige bicmos集成方案制造多晶-多晶电容器的方法
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP3719998B2 (ja) * 2002-04-01 2005-11-24 松下電器産業株式会社 半導体装置の製造方法
US6528862B1 (en) * 2002-04-19 2003-03-04 National Semiconductor Corporation Bipolar transistor with a box-type germanium profile that lies outside of the emitter-base depletion region
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
JP4223002B2 (ja) * 2002-04-26 2009-02-12 インターナショナル・ビジネス・マシーンズ・コーポレーション シリコン・ゲルマニウム・ヘテロ接合型バイポーラ・トランジスタ
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors

Also Published As

Publication number Publication date
TWI394275B (zh) 2013-04-21
TW200729484A (en) 2007-08-01
JP2013141007A (ja) 2013-07-18
KR101020014B1 (ko) 2011-03-09
CN101589460B (zh) 2011-07-20
CN101589460A (zh) 2009-11-25
EP1917682A2 (en) 2008-05-07
EP1917682A4 (en) 2009-09-30
US20070045775A1 (en) 2007-03-01
US20090224286A1 (en) 2009-09-10
JP2009509318A (ja) 2009-03-05
JP5607777B2 (ja) 2014-10-15
WO2007025259A2 (en) 2007-03-01
JP5400382B2 (ja) 2014-01-29
WO2007025259A3 (en) 2009-04-16
US7544577B2 (en) 2009-06-09

Similar Documents

Publication Publication Date Title
KR101020014B1 (ko) SiGe 이종접합 바이폴라 트랜지스터의 이동도 향상
Iyer et al. Silicon-germanium base heterojunction bipolar transistors by molecular beam epitaxy
US6911401B2 (en) Method for CVD process control for enhancing device performance
US6674150B2 (en) Heterojunction bipolar transistor and method for fabricating the same
US7566921B2 (en) Silicon germanium emitter
KR20030069215A (ko) 실리콘 게르마늄 바이폴라 트랜지스터
US7786510B2 (en) Transistor structure and manufacturing method thereof
US20130295733A1 (en) Si-Ge-Si SEMICONDUCTOR STRUCTURE HAVING DOUBLE COMPOSITIONALLY-GRADED HETERO-STRUCTURES AND METHOD FOR FORMING THE SAME
US6972441B2 (en) Silicon germanium heterojunction bipolar transistor with step-up carbon profile
US8728897B2 (en) Power sige heterojunction bipolar transistor (HBT) with improved drive current by strain compensation
Chroboczek et al. Has SiGe lowered the noise in transistors?
JP2000031162A (ja) ヘテロ接合バイポーラトランジスタ
US6673688B1 (en) Method for eliminating collector-base band gap in an HBT
JP2002270816A (ja) バイポーラトランジスタ
Sato et al. A self-aligned SiGe base bipolar technology using cold wall UHV/CVD and its application to optical communication ICs
KR100460201B1 (ko) SiGe/Si 이종 접합 전계 효과 트랜지스터 제조용 기판의 형성 방법
Willander et al. Silicon germanium strained layers and heterostructures
Packeiser et al. High frequency AlGaAs/GaAs heterojunction bipolar transistors: the role of MOVPE
JP2003059937A (ja) 半導体装置
Požela et al. Heterostructure Bipolar Transistors
Fjer Strained Si Heterojunction Bioploar Transistors

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee