KR20070100689A - 기판상의 포토레지스트 제거 방법 - Google Patents

기판상의 포토레지스트 제거 방법 Download PDF

Info

Publication number
KR20070100689A
KR20070100689A KR1020077007987A KR20077007987A KR20070100689A KR 20070100689 A KR20070100689 A KR 20070100689A KR 1020077007987 A KR1020077007987 A KR 1020077007987A KR 20077007987 A KR20077007987 A KR 20077007987A KR 20070100689 A KR20070100689 A KR 20070100689A
Authority
KR
South Korea
Prior art keywords
gas
plasma
substrate
layer
photoresist
Prior art date
Application number
KR1020077007987A
Other languages
English (en)
Inventor
에릭 에이 에델버그
로버트 피 케비
알렉스 에프 팬쿨라
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20070100689A publication Critical patent/KR20070100689A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

무기층 상부의 유기 포토레지스트상의 카본 리치 (carbon-rich) 층을 식각하는 방법은, 불소 함유 가스, 산소 함유 가스, 및 탄화수소 가스를 포함하는 공정 가스와, 적은 무기층 제거로 카본 리치층을 식각하기에 효과적인 플라즈마를 발생시키는 하나 이상의 선택적 구성요소를 이용할 수 있다. 카본 리치층은 동일한 공정 챔버에서 제거될 수 있거나, 또는 벌크 포토레지스트를 제거하는데 사용되면서 다른 공정 챔버에서 제거될 수 있다.
포토레지스트, 카본 리치층, 식각, 공정 가스, 플라즈마

Description

기판상의 포토레지스트 제거 방법{METHODS OF REMOVING PHOTORESIST ON SUBSTRATES}
배경기술
플라즈마 공정 장치는 플라즈마 식각, 물리기상증착, 화학기상증착 (CVD), 이온 주입, 및 레지스트 제거를 포함하는 공정을 위해 사용된다.
포토레지스트 재료는, 재료를 패터닝하기 위해 플라즈마 공정 작업에서 사용된다. 상업용 포토레지스트는 폴리머와, 다른 유기 및 무기 재료의 블렌드이다. 포토레지스트가 기판상에 적용되고, 레지스트층으로 패턴을 전사하기 위해, 패터닝된 마스크를 통하여 조사된다. 포토레지스트는 크게 음성 레지스트 및 양성 레지스트의 두 종류이며, 각각 음성 및 양성 이미지를 생성한다. 현상된 이후에, 패턴이 포토레지스트에 존재한다. 재료를 기판상에 증착하거나, 또는 재료를 기판내에 주입하기 위해서 뿐만 아니라, 식각에 의해 기판에 피쳐 (feature) 를 정의하기 위해서도 패터닝된 포토레지스트가 사용될 수 있다. 여기서 참조로 포함된 개시물인, 공동 양도된 미국 특허 제 5,968,374 호, 제 6,362,110 호, 및 제 6,692,649 호는 플라즈마 포토레지스트 스트립 기술을 개시한다.
요약
기판상의 유기 포토레지스트 제거 방법이 제공되며, 기판상의 유기 포토레지 스트 제거에 유용한 플라즈마 식각 가스 조성물이 제공된다. 방법과 조성물은 기판에 대하여 포토레지스트를 선택적으로 제거할 수 있다.
기판상의 유기 포토레지스트 제거 방법의 바람직한 실시형태는, 기판은 무기층 및 무기층 상부의 유기 포토레지스트를 포함하고 포토레지스트는 벌크 포토레지스트 상부의 카본 리치 (carbon-rich) 층을 포함하는, 기판을 플라즈마 공정 챔버 내에 위치시키는 단계; (i) 불소 함유 가스, (ii) 산소 함유 가스, 및 (iii) 탄화수소 가스를 포함하는 공정 가스를 공정 챔버로 공급하는 단계; 공정 가스로부터 플라즈마를 발생시키는 단계; 및 무기층에 대하여 카본 리치층을 선택적으로 플라즈마 식각하는 단계를 포함한다. 선택적으로, 카본 리치층의 식각 동안 RF 바이어스가 기판에 인가될 수도 있다.
벌크 포토레지스트는 카본 리치층을 식각하는데 사용되는 것과 동일한 플라즈마 공정 챔버에서도 스트립될 수 있다. 또한, 벌크 포토레지스트는 애싱 챔버에서 스트립될 수 있다. 벌크 포토레지스트는, 바람직하게는 카본 리치층을 제거하기 위해 사용되기보다 다른 화학작용을 사용하여 스트립된다.
기판상의 유기 포토레지스트를 식각하기에 유용한 플라즈마 식각 가스 조성물의 바람직한 실시형태는, (i) 불소 함유 가스, (ii) 산소 함유 가스, 및 (iii) 탄화수소 가스를 포함한다.
도면의 간단한 설명
도 1 은 기판상에 인가된 RF 바이어스로 100% O2 또는 H2O 증기로부터 발생 되는 플라즈마를 사용하여, 실리콘 기판 상부의 포토레지스트상에 형성되고 이온 주입된 카본 리치층을 제거하는 공정을 개략적으로 도시한다.
도 2 는 100% O2 또는 H2O 증기를 사용하여 RF 바이어스된 플라즈마 소스 내에서 유기 포토레지스트를 식각한 이후에 포스트 주입된 (post-implant) 기판의 표면상에 통상적으로 존재하는 잔여물을 보여주는 주사 전자 현미경 (SEM) 마이크로그래프이다.
도 3 은 기판으로부터 포토레지스트를 제거하는 방법의 실시형태를 실시하기 위해 사용될 수 있는 예시적인 유도 결합형 플라즈마 반응기를 도시한다.
도 4 는 기판으로부터 포토레지스트를 제거하는 방법의 실시형태를 실시하기 위해 사용될 수 있는 예시적인 평행판 (parallel-plate) 플라즈마 반응기를 도시한다.
도 5 는 기판에 인가된 RF 바이어스로 CF4, O2, 및 CH4 를 포함하는 공정 가스로부터 발생되는 플라즈마를 사용하여, 실리콘 기판 상부의 유기 포토레지스트상에 형성되고 이온 주입된 카본 리치층을 제거하는 공정을 개략적으로 도시한다.
도 6 은 CF4, O2, 및 CH4 를 포함하는 공정 가스를 사용하여 RF 바이어스된 플라즈마 소스내에서 포토레지스트를 제거한 이후에, 주입된 웨이퍼의 표면을 도시하는 SEM 마이크로그래프이다.
도 7a, 7b, 및 7c 는 동일한 데이터에 기초하는 것으로, 도 7a 은 공정 챔버 내로 유입되는 CF4, O2, 및 CH4 의 부피 퍼센티지 함수로서 Å 단위의 산소 손실의 삼중 플롯이고, 도 7b 는 공정 가스에서의 CH4 의 부피 퍼센티지 함수로서 Å 단위의 산소 손실의 플롯이며, 도 7c 는 공정 가스에서의 CH4 와 CF4 의 비율의 함수로서 Å 단위의 산소 손실의 플롯이다.
상세한 설명
이온 주입을 이용하고 장치 구조를 축소시키는 집적회로 (IC) 제조 공정에서, 상승된 이온 주입 에너지와 도우즈 및 새로운 재료는 잔여물 없는 장치 생산을 상당히 어렵게 한다. 식각 및 애싱 공정으로부터 남는 잔여물은 원치 않은 전기적 효과 및 부식을 낳아, 생산율을 저하시킬 수 있다. 이. 파벨 (E. Pavel), "식각 및 세정 적용을 위한 마이크로웨이브 다운스트림 및 RF 플라즈마 기술의 결합 (Combining Microwave Downstream and RF Plasma Technology for Etch and Clean Applications)", 제 196 회 전기화학회회의 (Meeting of the Electrochemical Society), (1999 년 10 월) 를 참조한다.
플라즈마 식각 및 반응 이온 식각 (RIE) 과 같은 플라즈마 공정 기술 및 이온 주입에서, 기판의 선택된 영역이 이온과 자유 라디칼에 노출되지 않게 보호하기 위해 포토레지스트가 기판상에 적용된다. 그러한 레지스트 적용에 대해 유기 폴리머 조성물이 공식화되어 있다.
기판이 식각, 이온 주입 등에 의해 공정된 이후에 하부의 기판으로부터 포토레지스트가 제거 또는 "스트립" 된다. 포토레지스트 스트립 공정은 기판 표면을 가능한 깨끗하게, 바람직하게는 어떠한 잔여 폴리머막 또는 레지스트 재료도 없 도록 남겨두는 것이 바람직하다. 포토레지스트를 제거하기 위해 습식 및 건식 스트립 기술이 사용될 수 있다. 습식 스트립 기술은 유기 용매 또는 산을 함유하는 용액을 사용한다. 건식 스트립 (또는 "애싱") 기술은 포토레지스트 제거에 산소 플라즈마를 사용한다.
이온 주입 공정 기술은 기판의 전기적 특성을 변경하기 위해 불순물로 기판 영역을 도핑하는데 사용된다. 이온 주입은 원자 도핑의 소스로서, 또는 기판 내에 다른 조성물 영역을 도입하기 위해 사용될 수 있다. 이온 주입 동안, 이온은 소정의 깊이까지 기판 표면에 침입하기 위해 충분히 높은 전압에서 가속화된다. 가속 전압의 증가는 불순물 집중피크의 깊이를 증가시킨다.
주입이 요구되지 않는 기판 영역은 포토레지스트로 보호되었다. 그러나, 포토레지스트는 주입 동안 변화되어, 정상 (비주입) 포토레지스트보다 주입 이후에 더 제거되기 어려운 상태가 된다. 특히, 주입된 이온은 포토레지스트 영역을 손상시켜, 표면 근처의 C-H 결합을 끊고 탄소-탄소 단일 또는 이중결합을 형성시킨다. 그 결과 크로스 링크되고 주입된 포토레지스트의 단단한, 카본 리치한 또는 "탄화된 (carbonized)" 층 (또는 "스킨" 또는 "크러스트") 이 별개의 하부의 벌크 포토레지스트를 캡슐화한다. 카본 리치층의 두께는 주입 종류, 전압, 도우즈, 및 전류의 함수이다. 카본 리치층은 통상적으로 약 200 Å 내지 약 2000 Å 의 두께를 갖는다. 에이. 커크패트릭 (A. Kirkpatrick) 외, "서브-0.25-㎛ 장치에서 대량 주입된 포토레지스트 제거 (Eliminating heavily implanted resist in sub-0.25-㎛ device)", 마이크로 (MICRO), 71 (1998 년 7 월 / 8 월) 을 참조한 다. 이. 파벨에 따르면, 주입 도우즈 및 에너지가 증가할수록, 주입된 포토레지스트는 점점 더 제거되기 어려워질 수 있다.
또한, 카본 리치층은 이온 주입 기술 외에, 포토레지스트의 이온 충격 또한 발생할 수 있는 플라즈마 공정 기술 동안 유기 포토레지스트내에 형성될 수 있다.
산소 플라즈마 애싱 기술은 카본 리치층을 제거할 수 있으나, 약 500 Å/min 이하의 느린 속도에서만 가능하다. 이 기술들의 식각 메커니즘은 H2O 및 CO2 를 생산하는 포토레지스트에서의 탄화수소와 산소 라디칼의 반응이다.
크로스 링크된 층의 제거 속도를 향상시키기 위해 기판에 RF 바이어스를 인가할 수 있음이 결정되어왔다. 인가된 RF 바이어스는 카본 리치층에 에너지를 제공하며, 탄소 단일결합을 끊음으로써 산소 라디칼과의 반응을 향상시킨다.
그러나, 포토레지스트 제거를 향상시키기 위해 기판에 RF 바이어스를 인가하는 것은 원치 않은 효과를 유발할 수도 있다는 점 또한 결정되어왔다. 도 1 은 이온 주입된 기판 (10) 으로부터 유기 포토레지스트를 제거하는 공정을 개략적으로 도시한다. 기판 (10) 은 이온 주입된 실리콘 (11) 및 상부의 얇은 무기층 (12 ; 예를 들어, SiOx 와 같은 실리콘 함유층) 을 포함한다. 무기층 (12) 은 CVD, 열성장으로 형성된 실리콘 산화물층이거나 자연 산화물층일 수도 있으며, 통상적으로 20 Å 이하의 두께를 갖는다. 무기층 (12) 상에 적용된 포토레지스트 (16) 는 벌크 포토레지스트 (18), 및 이온 주입 공정에 의해 형성된 상부의 카본 리치층 (20) 을 포함한다. 포토레지스트 (16) 에 의해 규정된 피쳐 (콘택, 비 아, 트렌치 등) 는 기판 (10) 상에서 통상적으로 약 0.25 ㎛ 이하의 폭으로 한정된다. RF 바이어스 시스템에서, 활성화된 O2 + 이온은 무기층 (12) 의 스퍼터링을 일으킬 수 있다. 통상의 공정 사양에 대해, 카본 리치층 (20) 및 벌크 포토레지스트 (18) 의 제거 동안 무기 재료 (예를 들어, 산화물) 손실의 최대량이 약 2 Å 미만이므로 무기층 (12) 의 스퍼터링은 바람직하지않다. 카본 리치층 (20) 은 통상적으로 약 200 내지 약 2000 Å 의 두께를 가질 수 있으며, 벌크 포토레지스트 (18) 는 통상적으로 약 수천 옹스트롬의 두께를 가질 수 있다. 또한, 스퍼터링된 무기 재료는 기판상과 포토레지스트상에 재증착될 수 있고, 세정 이후에 기판상에 유기 및 무기 잔여물이 존재하는 결과를 초래한다. 도 2 는 100% O2 또는 H2O 증기를 사용하여, RF 바이어스된 플라즈마 소스 내에서의 포토레지스트 애싱 이후에 기판상에 포토레지스트가 존재하는 영역에서, 포스트 주입된 웨이퍼의 표면상에 통상적으로 존재하는 잔여물을 보여주는 주사 전자 현미경 (SEM) 마이크로그래프이다.
카본 리치층 제거를 위한 기판으로의 바이어스 전압 인가로 인한 다른 원치 않은 효과는, 플라즈마의 산소 이온이 충분히 높은 에너지를 가져 얇은 무기층을 침입하고 하부의 실리콘을 산화시킬 수도 있다는 것이다.
상술한 발견에 비추어, 불소 함유 가스, 산소 함유 가스, 및 탄화수소 가스를 포함하는 공정 가스는 유기 포토레지스트 식각 공정에서 제어하기 위해 사용될 수 있고, 바람직하게는 무기 재료의, 성장뿐만 아니라 제거, 스퍼터링, 및 재증착 을 위해 사용될 수도 있다는 것이 결정되어왔다. 무기 재료는, 예를 들어, 실리콘 함유 재료 (예를 들어, Si, SiOx [예를 들어, SiO2], SixNy [예를 들어, Si3N4], SixOyNz, HfSixOy 등) 및 HfO 가 될 수 있다. 포토레지스트는, 예를 들어, 실리콘, SiO2, Si3N4 등을 포함하는 웨이퍼와 같은 다양한 반도체 기판 재료상에 존재할 수 있다.
공정 가스에 포함되기에 적절한 예시적인 불소 함유 가스는 CF4, SF6, 및 NF3 를 포함한다. 특히, 벌크 포토레지스트 상부의 카본 리치를 제거하기 위한 바람직한 공정 가스는 CF4, O2, 및 CH4 를 포함한다. 공정 가스는 N2 와 같은 하나 이상의 다른 선택적인 가스를 포함할 수 있다. 또한, 공정 가스는 Ar, He 등과 같은 하나 이상의 비활성 가스를 포함할 수 있다.
공정 가스는 바람직하게는, 부피로, 약 50 % 까지의 불소 함유 가스, 약 50 % 까지의 탄화수소 가스, 및 50 % 이상의 산소 함유 가스를 포함한다. 더 바람직하게는, 가스 혼합물은, 부피로, 약 20 % 까지의 불소 함유 가스, 약 10 % 내지 약 50 % 까지의 탄화수소 가스, 및 약 50 % 내지 약 90 % 까지의 산소 함유 가스를 포함한다.
공정 가스 내의 수소는 카본 리치층을 부드럽게하며, 이 층이 식각에 의해 제거되기 쉽게 한다.
카본 리치층을 제거할 수 있는 다른 가스는 CF4 및 CHF3 를 포함한다. 그러나 CF4 가 사용되면, 바람직하게는 CH4 와 결합되어 무기층에 (예를 들어, SiOx 층에) 대하여 요구되는 선택성을 제공한다.
포토레지스트는 임의의 적절한 유기 폴리머 조성물이 될 수 있다. 예를 들어, 포토레지스트 조성물은 노볼락 (Novolak) 류의 수지, 폴리스티렌 성분 등을 포함할 수 있다.
유기 포토레지스트를 제거하기 위해, 불소 함유 가스, 산소 함유 가스, 및 탄화수소 가스를 포함하는 공정 가스가 활성화되어 플라즈마를 발생시킨다.
플라즈마는, 바람직하게는 무선 주파수 (RF) 를 플라즈마 공정 챔버 외부의 전기적 유도코일에 인가함으로써 공정 가스로부터 발생된다. 웨이퍼는, 바람직하게는 플라즈마 발생 영역에 위치한다. 바람직한 실시형태에서, 코일은 평면 코일이고 웨이퍼는 코일의 평면에 평행하다.
플라즈마 반응기는 바람직하게는 유도결합형 플라즈마 반응기이고, 더 바람직하게는 본 출원의 양수인인 램 리써치사 (Lam Reasearch Corporation) 로부터 이용가능한 고밀도 TCPTM 반응기이다. 300 mm 및 200 mm 기판과 같은 기판으로부터 포토레지스트를 제거하는 방법의 실시형태는 도 3 에서 도시된 반응기 (100) 와 같은 유도 결합형 플라즈마 반응기에서 실시될 수 있다. 반응기 (100) 는 출구 (104) 에 연결된 진공 펌프에 의해 소정의 진공 압력에서 유지되는 내부 (102) 를 포함한다. 공정 가스는, 가스 공급기 (106) 로부터 유전체 윈도우 (110) 의 하부측 주위로 연장되는 플리넘 (plenum ; 108) 까지 가스를 공급함으로써 샤워헤드 장치에 공급될 수 있다. 고밀도 플라즈마는 RF 에너지를 RF 소스 (112) 로부터 반응기 (100) 최상부의 유전체 윈도우 (110) 외부에 배치된, 1 회 이상 감긴 평면 나선형 코일과 같은 외부 RF 안테나 (114) 로 공급함으로써 내부 (102) 에서 발생될 수 있다.
반도체 웨이퍼와 같은 기판 (116) 은 반응기 (100) 의 내부 (102) 내에서 기판 지지대 (118) 상에 지지된다. 기판 지지대 (118) 는 정전척 (120) 과 같은 척킹 장치를 포함할 수 있고, 기판 (116) 은 유전체 포커스 링 (122) 으로 둘러싸일 수 있다. 척 (120) 은 기판 (116) 의 플라즈마 공정 동안 기판에 RF 바이어스를 인가하기 위한 RF 바이어싱 전극을 포함할 수 있다. 가스 공급기 (106) 에 의해 공급된 공정 가스는 유전체 윈도우 (110) 와 하부의 가스 분배판 (124) 사이 채널을 통해 유입되며 평판 (124) 의 가스 출구를 통해 내부 (102) 로 들어간다. 또한, 가스는 윈도우를 통해 연장되는 하나 이상의 가스 주입기에 의해 공급될 수 있다. 예를 들어, 공동 양도된 미국 특허 제 6,230,651 호를 참조한다. 또한, 반응기는 평판 (124) 으로부터 연장되는 라이너 (126) 를 포함할 수 있다.
플라즈마를 발생시키는데 사용될 수 있는 예시적인 플라즈마 반응기는 램 리써치사로부터 이용가능한 2300 TCPTM 반응기이다. 플라즈마 반응기를 위한 통상적 동작 조건은 다음과 같다 : 상부 전극 (코일) 에 인가된 약 400 내지 약 1400 와트의 유도전력, 약 15 내지 약 60 mTorr 의 반응 챔버 압력, 및 약 200 내지 약 600 sccm 의 총 공정 가스 유량.
또한, 기판으로부터 포토레지스트를 제거하는 방법의 실시형태는 도 4 에 도시된 반응기 (200) 와 같은 이중 주파수, 평행판 플라즈마 반응기로 수행될 수 있다. 예시적인 이중 주파수 반응기는 램 리써치사로부터 이용가능한 엑셀란 (ExelanTM) 반응기를 포함한다. 이중 주파수 반응기의 세부사항은 여기에 참조로서 포함된 개시물인, 공동 양도된 미국 특허 제 6,391,787 호에서 알 수 있다. 반응기 (200) 는, 반응기 벽의 출구 (205) 에 연결된 진공 펌프 (204) 에 의해 소정의 진공 압력에서 유지되는 내부 (202) 를 포함한다. 공정 가스는, 가스 공급기 (206) 로부터 가스를 공급함으로써 샤워헤드 전극 (212) 으로 공급될 수 있다. 중밀도 플라즈마는 RF 에너지를 RF 소스 (208, 210), 및 RF 소스 (214, 216) 로부터 샤워헤드 전극 (212) 으로, 그리고 기판 지지대 (218) 의 척 (220) 의 하부 전극으로 공급함으로써 발생될 수 있다. 또한, 샤워헤드 전극 (212) 은 전기적으로 접지될 수 있고, 다른 두 주파수의 RF 에너지는 하부 전극으로 공급될 수 있다. 또한, 샤워헤드 또는 상부 전극에만, 또는 하부 전극에만 공급되는 RF 전력을 갖는 반응기와 같은 다른 용량 결합형 식각 반응기도 사용될 수 있다. 예를 들어, 여기에 참조로 포함된 개시물인, 공동 양도된 미국 특허 제 6,518,174 호 및 제 6,770,166 을 참조한다.
카본 리치층의 제거 동안, 기판은, 바람직하게는 층의 파열을 방지하기 위해 기판 지지대상에서 충분히 낮은 온도로 유지된다. 예를 들어, 포토레지스트 조 성물 내의 용매가 열처리로 휘발될 때, 카본 리치층이 파열되어 기판상에 증착할 수도 있는 입자를 생성할 수도 있다. 그러한 카본 리치층의 파열을 피하기 위해, 카본 리치층의 식각 동안, 기판은 바람직하게는 약 150 ℃ 미만, 더 바람직하게는 약 20 내지 약 75 ℃ 의 온도, 및 약 500 mTorr 미만의 챔버 압력에서 유지된다.
카본 리치층의 식각 동안, 바람직하게는 기판이 지지되는 기판 지지대에 제공되는 바이어스 전극으로 RF 바이어스가 기판에 인가된다. RF 바이어스는, 바람직하게는 용량성이다. 이온 에너지 및 이온 플럭스를 각각 독립적으로 제어하기 위해, 바람직하게는, 플라즈마를 발생시키기 위해 사용된 RF 전력 및 인가된 RF 바이어스가 독립적으로 제어될 수 있다. RF 바이어스는 플라즈마에서 이온을 가속화시키고 기판에 에너지를 부가하여, 카본 리치층의 제거 속도를 증가시킨다. 기판에 인가된 RF 바이어스 전압은, 바람직하게는 (접지에 대해) 약 100 볼트 미만이며, 더 바람직하게는 약 20 볼트 미만이다. 예상 외로, 공정 가스에서의 불소의 결합 사용과 기판에 인가된 RF 바이어스는, 기판상에 존재하는 무기 재료 (예를 들어, 산화물) 에 높은 선택성도 제공하면서 충분히 높은 속도로 카본 리치층을 제거하는데 효과적이라는 것이 결정되어왔다. 공정 가스에 포함된 불소 함유 가스의 주어진 부피 퍼센티지 (예를 들어, 5 내지 50 sccm 유량의 불소 함유 가스) 에서, RF 바이어스는, 카본 리치층의 식각 동안 기판으로부터의 무기 재료 제거 속도를 감소시키는 낮은 레벨로 유지될 수 있음이 더 결정되어왔다.
도 5 를 참조하면, 불소 함유 가스, 산소 함유 가스, 및 탄화수소 가스를 포 함하는 공정 가스는 무기층 (12 ; 예를 들어, 산화물층) 의 스퍼터링을 최소화하면서 카본 리치층을 식각할 수 있고, 따라서 스퍼터링된 무기 재료의 기판상의 재증착을 줄이거나 피할 수 있다. 또한, 불소는 포토레지스트내에 또는 포토레지스트상에 있을 수도 있는 무기 재료를 제거할 수 있다.
카본 리치층을 식각하는데 사용되는 공정 가스 내의 수소는 크로스 링크된 탄소와 반응함으로써 카본 리치층의 식각 속도를 증가시킨다. 또한, 불소는 카본 리치층 식각 속도를 향상시킬 수도 있다.
카본 리치층을 식각하는데 사용되는 공정 가스에 CHx 류의 부가는, 패시베이션층 (22) 이 산화물층 (12) 및 포토레지스트 (16) 를 형성하게 하여 (도 5 참조), 이온 유도된 산화물 성장 및 산화물 스퍼터링의 양을 감소시킨다.
불소 및 CHx 패시베이션 모두에 대해 CH3F 와 같은 단일 소스가 사용되면, 카본 리치층 제거 및 기판 패시베이션이 독립적으로 제어될 수 없다. 불소 소스와 CHx 패시베이션 소스를 분리함으로써, 즉, 불소 함유 가스 및 탄화수소 가스를 포함하는 공정 가스를 제공함으로써, 카본 리치층 제거와 기판 패시베이션이 독립적으로 제어될 수 있어, 하부의 기판 재료에 대해 높은 선택성을 갖는 잔여물 제거가 달성될 수 있음이 발견되어왔다.
카본 리치층 (20) 제거의 완료는, 하부의 벌크 포토레지스트가 노출된 시점을 결정할 수 있는 종말점 검출기술을 사용하여 식각 공정 동안 검출될 수 있다. 카본 리치층 제거를 위한 종말점은, 바람직하게는 광 방사기술로 결정될 수 있 다. 예를 들어, 광 방사 기술은 약 520 nm 의 파장에서 일산화탄소 (CO) 로부터의 방사를 모니터링할 수 있다. 카본 리치층의 제거 동안, 낮은 식각 속도로 인해 작은 CO 신호가 생성된다. 일단 카본 리치층이 개구되면, 노출된 하부의 벌크 포토레지스트가 카본 리치층보다 더 빠른 속도로 식각되며, 결과적으로, CO 농도 및 대응하는 CO 신호가 증가한다.
카본 리치층 제거 이후에, 하부의 벌크 포토레지스트는, 바람직하게는 다른 포토레지스트 식각 공정을 사용하여 제거된다. 예를 들어, 카본 리치층의 식각 단계 동안 바람직하게 사용되는 온도보다 고온에서, 산소 애싱에 의해 벌크 포토레지스트가 제거될 수 있다. 예를 들어, 벌크 포토레지스트의 식각 단계 동안 기판 온도는 약 150 ℃ 내지 약 300 ℃, 바람직하게는 200 ℃ 내지 280 ℃ 의 범위가 될 수 있다. 벌크 포토레지스트의 제거 동안 챔버 압력은 바람직하게는 약 500 mTorr 보다 크다. 또한, 산소 애싱은 높은 벌크 포토레지스트 제거 속도를 달성할 수 있다. 예를 들어, O2/N2 플라즈마는 약 4 내지 6 마이크론/분의 속도로 벌크 레지스트를 제거할 수 있다. 선택적 오버 애시 (optional over-ash) 단계 또한 사용될 수 있다. 포토레지스트가 애싱됨에 따라, 포토레지스트 내의 휘발성 용매는 플라즈마 공정 챔버로부터 소진된다.
벌크 포토레지스트는, 바람직하게는 기판으로부터 업스트림으로 발생되는 플라즈마를 사용하여 동일한 챔버 또는 다른 챔버에서 제거된다. 그러나, 벌크 포토레지스트 제거 단계는 카본 리치층을 식각하는데 사용되는 것과 동일한 공정 챔버 내에서 실시될 수 있다. 또한, 벌크 포토레지스트는 다른 공정 챔버에서 식각함으로써 제거될 수 있다. 즉, 기판은 카본 리치층 식각 이후에 공정 챔버로부터 이동되고, 벌크 포토레지스트를 식각하기 위해 다른 공정 챔버에 위치될 수 있다. 다른 공정 챔버를 사용하는 것은, 카본 리치층의 제거 및 애싱 동안 가스 화학작용 및/또는 기판 온도를 변경하는 것을 방지할 수 있다.
300 mm 웨이퍼상에서 카본 리치층을 제거하기 위한 예시적인 공정 조건은 다음과 같다 : 약 10 - 50 mTorr, 바람직하게는 30 mTorr 의 챔버 압력, 상부 전극 (코일) 에 인가되는 약 400 - 1500 와트, 바람직하게는 1200 와트의 전력, 바이어스 전극 (코일) 에 인가되는 약 2 - 10 와트, 바람직하게는 5 와트의 전력, 불소 함유 가스에 대해 약 5 - 50 sccm, 탄화수소 가스에 대해 약 20 - 200 sccm, 및 산소 함유 가스에 대해 약 300 - 500 sccm 의 가스 유량, 및 50 ℃ 미만, 바람직하게는 약 20 ℃ 의 웨이퍼 온도.
상부 전극 (코일) 에 인가된 전력이 너무 높으면, 패시베이션이 손실될 수 있다. 카본 리치층의 제거 동안 발생된 임의의 잔여물이 탈이온수에서 용해되도록 함으로써 습식 스트립 기술의 필요를 최소화하는 것이 바람직하다. 그러나, 카본 리치층 식각이 고온에서 실행될 때, 습식 스트립 기술이 필요할 수도 있음이 발견되어왔다. 무기층에 대하여 카본 리치층의 선택적 식각을 달성하도록 불소 함유 가스 및/또는 탄화수소 가스의 유량이 조절될 수도 있다.
다운스트림 플라즈마 스트립 챔버 내에 잔존하는 벌크 포토레지스트를 제거하기 위한 예시적인 공정 조건은 다음과 같다 : 약 1000 mTorr 챔버 압력, 플라즈 마 소스에 인가된 약 2500 와트의 전력, 약 4400 sccm 의 총 공정 가스 유량, 및 약 220 ℃ 의 기판온도.
도 6 은 바람직한 실시형태에 따라 포토레지스트 제거 공정을 실시한 이후에 기판 표면을 촬영한 SEM 마이크로그래프이다. 식각 공정은 기판에 가해진 RF 바이어스와 함께 CH4, O2, 및 CF4 를 포함하는 공정 가스를 사용하여, 벌크 포토레지스트상에 형성된 카본 리치층 제거한 이후에, 표준 다운스트림 스트립 공정을 사용하여 하부의 벌크 포토레지스트를 제거하는 것을 포함하였다. 도 6 에 도시된 바와 같이, 포토레지스트는 완전히 제거되었으며 웨이퍼상에 포스트 식각 잔여물이 존재함이 검출되지 않았다.
실시예:
실리콘 웨이퍼는 이온 주입되어, 하부의 벌크 포토레지스트상에 카본 리치층을 생성한다. 하기 표는 플라즈마를 발생시켜 카본 리치층을 제거하는데 사용된 산소 함유 공정 가스에서의 (부피 퍼센티지 기준상) CH4 와 CF4 의 비를 달리했을 때 실리콘 산화물과 벌크 포토레지스트에 대해 결정된 식각 속도를 보여준다. 카본 리치층의 스트립 동안, 5 와트의 전력 레벨로 RF 바이어스가 기판에 인가되었다.
벌크 포토레지스트 식각 속도는, 공지된 두께를 갖는 비주입된 유기 포토레지스트를 공정 챔버에 위치시키고 포토레지스트를 부분적으로 스트립함으로써 평가되었다. 벌크 포토레지스트 또한 비주입된 재료로서, 계산된 벌크 포토레지스 트 식각 속도는 주입된 카본 리치층 하부의 벌크 포토레지스트의 식각 속도에 근접한다.
CH4:CF4 벌크 포토레지스트 식각 속도 (Å/min) 산화물 식각 속도 (Å/min)
2:1 3063 0.023
3:1 3492 0.026
10:1 2663 < 0.010
시험 결과는 벌크 포토레지스트 및 산화물 식각 속도 모두 CH4 와 CF4 의 비가 약간 증가된 때는 증가되나, CH4 와 CF4 의 비가 증가됨에 따라 감소됨을 보여준다. 시험 결과는, CH4 가 화학적 및/또는 물리적 공격으로부터 SiOx 표면을 패시베이션하고 보호하는 공정 경계의 존재를 나타낸다. 산화물 식각 속도는, 무기층의 패시베이션이 무기층의 식각 속도를 감소시키기에 충분히 큰, CH4 와 CF4 의 비까지 CH4 와 CF4 의 비를 증가시킴에 따라 증가된다. 임의의 특정 이론에 제한되기를 바라지는 않지만, 향상된 포토레지스트 식각 속도는 플라즈마 내의 H 및 F 라디칼의 존재에 기인함을 알 수 있다.
도 7a 는 O2, CF4, 및 CH4 유량 (50 내지 100 % O2, 0 내지 50 % CH4, 및 0 내지 50 % CF4 유량) 대 옹스트롬 단위의 산화물 손실 (개구박스 근처에 수치로 나타낸 산화물 손실, 예를 들어, 90 % O2 및 10 % CF4 에 대한 산화물 손실은 28.8 Å 이며, 반면 80 % O2, 10 % CF4 및 10 % CH4 에 대한 산화물 손실은 2.8 Å 임) 의 삼중 플롯이다. 도 7a 및 7b 에서 볼 수 있는 바와 같이, 공정 가스에 대한 CH4 의 부가는, 산화물 표면의 패시베이션을 통해 산화물 손실을 감소시킨다. 도 7a 및 7c 에서 볼 수 있는 바와 같이, 1:1 보다 큰 CH4 와 CF4 의 비는 산화물 표면의 패시베이션을 통해 다시 산화물 손실을 감소시킨다. 따라서, 도 7a 및 7c 와 상기 표에서 볼 수 있는 바와 같이, 탄화수소 가스와 불소 함유 가스의 바람직한 비는 1:1 내지 10:1 이다.
비교를 위해, 10 % CF4 (밸런스 O2) 및 10% CHF3 (밸런스 O2) 를 포함한 가스 혼합물이, 플라즈마를 발생시키고 이온 주입된 실리콘 웨이퍼로부터 벌크 포토레지스트상의 카본 리치층을 제거하는데 사용되었다. CF4 를 포함하는 가스 혼합물에 대한 산화물 식각 속도는 27 Å/min 였고, CHF3 포함하는 가스 혼합물에 대한 산화물 식각 속도는 15 Å/min 였다. 이 산화물 식각 속도는, 약 5 Å/min 의 최대 산화물 식각 속도를 갖는 것, 특히 약 2 Å/min 미만의 최대 산화물 식각 속도를 갖는 것과 같은 엄격한 최대 산화물 제거 사양을 갖는 포토레지스트 제거 공정에 대해서는 매우 높다.
첨부된 특허청구범위의 범위로부터 벗어나지 않고, 구체적인 실시형태를 참조하여 앞의 상세한 설명에 다양한 변형과 수정이 가능함과, 사용되는 균등물이 당업자에게 명백할 것이다.

Claims (29)

  1. 기판상의 유기 포토레지스트 제거 방법으로서,
    상기 기판을 플라즈마 반응기의 플라즈마 공정 챔버 내에 위치시키는 단계로서, 상기 기판은 무기층 및 상기 무기층 상부의 유기 포토레지스트를 포함하고, 상기 포토레지스트는 벌크 포토레지스트 상부의 카본 리치 (carbon-rich) 층을 포함하는, 상기 기판을 위치시키는 단계;
    공정 가스를 상기 플라즈마 공정 챔버로 공급하는 단계로서, 상기 공정 가스는 (i) 불소 함유 가스, (ii) 산소 함유 가스, 및 (iii) 탄화수소 가스를 포함하는, 상기 공정 가스를 공급하는 단계;
    상기 공정 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 무기층에 대하여 상기 카본 리치층을 선택적으로 플라즈마 식각하는 단계를 포함하는, 유기 포토레지스트 제거 방법.
  2. 제 1 항에 있어서,
    상기 공정 가스는,
    부피로, (i) 약 20 % 까지의 상기 불소 함유 가스, (ii) 약 10 % 내지 약 50 % 의 상기 탄화수소 가스, 및 (iii) 약 50 % 내지 약 90 % 의 상기 산소 함유 가스를 포함하는, 유기 포토레지스트 제거 방법.
  3. 제 2 항에 있어서,
    상기 탄화수소 가스와 상기 불소 함유 가스의 부피의 비는 1:1 과 10:1 사이인, 유기 포토레지스트 제거 방법.
  4. 제 2 항에 있어서,
    상기 공정 가스는,
    (i) 5 - 50 sccm 의 상기 불소 함유 가스, (ii) 20 - 200 sccm 의 상기 탄화수소 가스, 및 (iii) 300 - 500 sccm 의 상기 산소 함유 가스의 유량으로 공급되는, 유기 포토레지스트 제거 방법.
  5. 제 1 항에 있어서,
    RF 바이어스가 상기 기판에 인가되고, 상기 RF 바이어스를 인가함으로써 상기 카본 리치층내의 탄소 단일결합이 끊어지는, 유기 포토레지스트 제거 방법.
  6. 제 1 항에 있어서,
    상기 불소 함유 가스는 CF4, SF6, 및 NF3 로 구성되는 그룹으로부터 선택되는, 유기 포토레지스트 제거 방법.
  7. 제 6 항에 있어서,
    상기 불소 함유 가스는 CF4, 상기 산소 함유 가스는 O2 및/또는 상기 탄화수소 가스는 CH4 인, 유기 포토레지스트 제거 방법.
  8. 제 1 항에 있어서,
    상기 공정 가스는 상기 카본 리치층을 부드럽게 하기에 효과적인 양으로 수소를 포함하는, 유기 포토레지스트 제거 방법.
  9. 제 1 항에 있어서,
    상기 플라즈마는 중밀도 플라즈마이고 상기 공정 챔버는 압력이 15 내지 60 mTorr 인, 유기 포토레지스트 제거 방법.
  10. 제 1 항에 있어서,
    상기 플라즈마는 고밀도 플라즈마인, 유기 포토레지스트 제거 방법.
  11. 제 1 항에 있어서,
    상기 카본 리치층의 식각 동안 상기 기판에 RF 바이어스를 인가하는 단계를 더 포함하는, 유기 포토레지스트 제거 방법.
  12. 제 1 항에 있어서,
    상기 카본 리치층은 200 내지 2000 Å 의 두께를 갖는 이온 주입된 층인, 유기 포토레지스트 제거 방법.
  13. 제 1 항에 있어서,
    상기 무기층은 실리콘 함유층이고 상기 탄화수소 가스는 상기 실리콘 함유층을 패시베이션하기에 효과적인 양으로 존재하는, 유기 포토레지스트 제거 방법.
  14. 제 13 항에 있어서,
    상기 실리콘 함유층은 실리콘 산화물층인, 유기 포토레지스트 제거 방법.
  15. 제 14 항에 있어서,
    상기 실리콘 산화물층은 자연 산화물, 열성장 산화물이거나 CVD 에 의해 형성된, 유기 포토레지스트 제거 방법.
  16. 제 14 항에 있어서,
    상기 실리콘 산화물층은 20 Å 이하의 두께를 갖는, 유기 포토레지스트 제거 방법.
  17. 제 1 항에 있어서,
    상기 무기층의 2 Å 이하가 상기 카본 리치층의 식각 동안 제거되는, 유기 포토레지스트 제거 방법.
  18. 제 1 항에 있어서,
    상기 챔버 내의 압력을 500 mTorr 미만으로 유지하면서 상기 기판이 20 내지 75 ℃ 의 온도로 유지되는, 유기 포토레지스트 제거 방법.
  19. 제 1 항에 있어서,
    상기 카본 리치층을 식각하는 단계 이후에, 상기 기판을 탈이온수 또는 다른 습식 세정 화학작용으로 세정하는 단계를 더 포함하는, 유기 포토레지스트 제거 방법.
  20. 제 1 항에 있어서,
    상기 카본 리치층을 식각하는 단계 이후에, 상기 플라즈마 공정 챔버로부터 상기 기판을 이동시키는 단계 및 애싱 챔버 내에 상기 기판을 위치시키는 단계;
    산소를 함유하는 애싱 가스를 상기 애싱 챔버로 공급하는 단계;
    상기 애싱 가스로부터 플라즈마를 발생시키는 단계; 및
    상기 플라즈마로 상기 벌크 포토레지스트를 식각하는 단계를 더 포함하는, 유기 포토레지스트 제거 방법.
  21. 제 1 항에 있어서,
    상기 카본 리치층을 식각하는 단계 이후에, 산소를 함유하는 애싱 가스를 상기 플라즈마 공정 챔버로 공급하는 단계;
    상기 애싱 가스로부터 스트립 플라즈마를 발생시키는 단계; 및
    상기 기판을 150 내지 300 ℃ 로 유지하고 상기 챔버 내의 압력을 500 mTorr 압력을 초과하도록 유지하면서 상기 벌크 포토레지스트를 상기 스트립 플라즈마로 스트립하는 단계를 더 포함하는, 유기 포토레지스트 제거 방법.
  22. 기판상의 유기 포토레지스트를 제거하는데 유용한 플라즈마 식각 가스 조성물로서,
    (i) 불소 함유 가스, (ii) 산소 함유 가스, 및 (iii) 탄화수소 가스를 포함하고, 상기 불소 함유 가스, 상기 산소 함유 가스, 및 상기 탄화수소 가스는, 카본 리치층을 상기 식각 가스로 플라즈마 식각하는 동안, 하부의 유기 포토레지스트로부터 상기 카본 리치층이 제거될 수 있는 부피량으로 존재하는, 플라즈마 식각 가스 조성물.
  23. 제 22 항에 있어서,
    상기 플라즈마 식각 가스는,
    부피로, (i) 약 20 % 까지의 상기 불소 함유 가스, (ii) 약 10 % 내지 약 50 % 의 상기 탄화수소 가스, 및 (iii) 50 % 이상의 상기 산소 함유 가스를 포함하는, 플라즈마 식각 가스 조성물.
  24. 제 23 항에 있어서,
    상기 탄화수소 가스와 상기 불소 함유 가스의 부피의 비는 1:1 내지 10:1 인, 플라즈마 식각 가스 조성물.
  25. 제 22 항에 있어서,
    상기 불소 함유 가스는 CF4, SF6, 및 NF3 로 구성되는 그룹으로부터 선택되는, 플라즈마 식각 가스 조성물.
  26. 제 25 항에 있어서,
    상기 불소 함유 가스는 CF4 인, 플라즈마 식각 가스 조성물.
  27. 제 22 항에 있어서,
    상기 산소 함유 가스는 O2 인, 플라즈마 식각 가스 조성물.
  28. 제 22 항에 있어서,
    상기 탄화수소 가스는 CH4 인, 플라즈마 식각 가스 조성물.
  29. 제 22 항에 있어서,
    상기 플라즈마 식각 가스는 CF4, O2, 및 CH4 로 구성되는, 플라즈마 식각 가스 조성물.
KR1020077007987A 2004-09-07 2005-08-31 기판상의 포토레지스트 제거 방법 KR20070100689A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/934,697 2004-09-07
US10/934,697 US20060051965A1 (en) 2004-09-07 2004-09-07 Methods of etching photoresist on substrates

Publications (1)

Publication Number Publication Date
KR20070100689A true KR20070100689A (ko) 2007-10-11

Family

ID=35996819

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077007987A KR20070100689A (ko) 2004-09-07 2005-08-31 기판상의 포토레지스트 제거 방법

Country Status (7)

Country Link
US (2) US20060051965A1 (ko)
JP (1) JP2008512854A (ko)
KR (1) KR20070100689A (ko)
CN (1) CN101015042A (ko)
IL (1) IL181371A0 (ko)
TW (1) TW200623260A (ko)
WO (1) WO2006028858A2 (ko)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
TWI437633B (zh) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
KR100780660B1 (ko) * 2006-07-04 2007-11-30 주식회사 하이닉스반도체 높은 도즈의 이온주입배리어로 사용된 감광막의 스트립방법
US20080009127A1 (en) 2006-07-04 2008-01-10 Hynix Semiconductor Inc. Method of removing photoresist
JP2008047822A (ja) * 2006-08-21 2008-02-28 Toshiba Corp 半導体装置の製造方法
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20080261384A1 (en) * 2007-04-18 2008-10-23 United Microelectronics Corp. Method of removing photoresist layer and method of fabricating semiconductor device using the same
TWI368963B (en) * 2008-07-18 2012-07-21 Inotera Memories Inc An analysis method of wafer's ion implant
KR101791685B1 (ko) * 2008-10-14 2017-11-20 노벨러스 시스템즈, 인코포레이티드 수소 이용 화학 반응으로 고용량 주입 스트립(hdis) 방법 및 장치
US8273259B1 (en) 2009-01-17 2012-09-25 Novellus Systems, Inc. Ashing method
US8475673B2 (en) * 2009-04-24 2013-07-02 Lam Research Company Method and apparatus for high aspect ratio dielectric etch
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN102651370B (zh) * 2012-01-04 2014-12-10 京东方科技集团股份有限公司 一种tft阵列基板、制造方法及显示装置
CN102610496B (zh) * 2012-03-31 2017-11-07 上海集成电路研发中心有限公司 大高宽比结构的去胶方法
CN103887601B (zh) * 2012-12-20 2015-10-28 中国科学院上海微系统与信息技术研究所 折叠槽天线结构及其制作方法
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9520290B1 (en) * 2015-08-21 2016-12-13 Varian Semiconductor Equipment Associates, Inc. Ion implantation for improved etch performance
US9735013B2 (en) * 2015-12-16 2017-08-15 Varian Semiconductor Equipment Associates, Inc. Ion implantation for improved contact hole critical dimension uniformity
CN109659231B (zh) * 2018-12-27 2021-04-13 上海华力集成电路制造有限公司 光刻胶剥离工艺中改善器件均一性的方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5248894A (en) * 1989-10-03 1993-09-28 Harris Corporation Self-aligned channel stop for trench-isolated island
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US6156663A (en) * 1995-10-03 2000-12-05 Hitachi, Ltd. Method and apparatus for plasma processing
US5824604A (en) * 1996-01-23 1998-10-20 Mattson Technology, Inc. Hydrocarbon-enhanced dry stripping of photoresist
US5968374A (en) * 1997-03-20 1999-10-19 Lam Research Corporation Methods and apparatus for controlled partial ashing in a variable-gap plasma processing chamber
US6379576B2 (en) * 1997-11-17 2002-04-30 Mattson Technology, Inc. Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US5849639A (en) * 1997-11-26 1998-12-15 Lucent Technologies Inc. Method for removing etching residues and contaminants
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6613681B1 (en) * 1998-08-28 2003-09-02 Micron Technology, Inc. Method of removing etch residues
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6727185B1 (en) * 1999-11-29 2004-04-27 Texas Instruments Incorporated Dry process for post oxide etch residue removal
US6461971B1 (en) * 2000-01-21 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method of residual resist removal after etching of aluminum alloy filmsin chlorine containing plasma
US20010027023A1 (en) * 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6518174B2 (en) * 2000-12-22 2003-02-11 Lam Research Corporation Combined resist strip and barrier etch process for dual damascene structures
US6566242B1 (en) * 2001-03-23 2003-05-20 International Business Machines Corporation Dual damascene copper interconnect to a damascene tungsten wiring level
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
AU2003270735A1 (en) * 2002-09-18 2004-04-08 Mattson Technology, Inc. System and method for removing material
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US20040214448A1 (en) * 2003-04-22 2004-10-28 Taiwan Semiconductor Manufacturing Co. Method of ashing a photoresist
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates

Also Published As

Publication number Publication date
WO2006028858A2 (en) 2006-03-16
US20080182422A1 (en) 2008-07-31
WO2006028858A3 (en) 2006-07-27
TW200623260A (en) 2006-07-01
US20060051965A1 (en) 2006-03-09
CN101015042A (zh) 2007-08-08
JP2008512854A (ja) 2008-04-24
IL181371A0 (en) 2008-03-20

Similar Documents

Publication Publication Date Title
KR20070100689A (ko) 기판상의 포토레지스트 제거 방법
US20060201911A1 (en) Methods of etching photoresist on substrates
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6062237A (en) Polymer removal from top surfaces and sidewalls of a semiconductor wafer
TWI423323B (zh) 光阻剝離室及蝕刻基材上光阻之方法
KR101032831B1 (ko) 챔버 탈불화 및 웨이퍼 탈불화 단계들을 방해하는 플라즈마에칭 및 포토레지스트 스트립 프로세스
KR101299661B1 (ko) 정규형 저유전율 유전체 재료 및/또는 다공형 저유전율유전체 재료의 존재 시 레지스트 스트립 방법
TWI226086B (en) Two stage etching of silicon nitride to form a nitride spacer
WO1999033097A1 (en) Improved techniques for etching an oxide layer
KR100255405B1 (ko) 드라이에칭방법
CN101536155A (zh) 用于低电介质常数材料的具有原位背侧聚合物去除的等离子体电介质蚀刻工艺
US8642482B2 (en) Plasma etching method, control program and computer storage medium
JP4451934B2 (ja) 導電層をエッチングする方法及び集積回路
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
US20040214448A1 (en) Method of ashing a photoresist
US20050095783A1 (en) Formation of a double gate structure
WO2003023841A1 (en) Flash step preparatory to dielectric etch
JP2003059911A (ja) 半導体装置の製造方法
US7452660B1 (en) Method for resist strip in presence of low K dielectric material and apparatus for performing the same
JP2639402B2 (ja) 酸化物層のテーパーエッチング方法
CN111834202A (zh) 基板处理方法和基板处理装置
JPH05267245A (ja) 半導体装置の製造方法
JPH07201817A (ja) 有機材料膜の剥離方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid