KR20070037645A - 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화 - Google Patents

얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화 Download PDF

Info

Publication number
KR20070037645A
KR20070037645A KR1020077004146A KR20077004146A KR20070037645A KR 20070037645 A KR20070037645 A KR 20070037645A KR 1020077004146 A KR1020077004146 A KR 1020077004146A KR 20077004146 A KR20077004146 A KR 20077004146A KR 20070037645 A KR20070037645 A KR 20070037645A
Authority
KR
South Korea
Prior art keywords
layer
depositing
tungsten silicide
substrate
tungsten
Prior art date
Application number
KR1020077004146A
Other languages
English (en)
Other versions
KR100871006B1 (ko
Inventor
밍 리
슈린 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070037645A publication Critical patent/KR20070037645A/ko
Application granted granted Critical
Publication of KR100871006B1 publication Critical patent/KR100871006B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28061Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a metal or metal silicide formed by deposition, e.g. sputter deposition, i.e. without a silicidation reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • H01L21/32053Deposition of metallic or metal-silicide layers of metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

게이트 전극의 층들을 증착하는 방법이 제공된다. 상기 방법은 도핑된 폴리실리콘층, 얇은 텅스텐 실리사이드층, 및 금속층을 증착하는 단계를 포함한다. 일 면에서, 도핑된 폴리실리콘층 및 얇은 텅스텐 실리사이드층은 통합된 프로세싱 시스템내에서 증착된다. 또 다른 면에서, 얇은 텅스텐 실리사이드층을 증착하는 단계는 폴리실리콘층을 실리콘 소스에 노출하는 단계, 텅스텐 실리사이드층을 증착하는 단계, 및 텅스텐 실리사이드층을 실리콘 소스에 노출하는 단계를 포함한다.

Description

얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화{THIN TUNGSTEN SILICIDE LAYER DEPOSITION AND GATE METAL INTEGRATION}
본 발명의 실시예들은 게이트 전극의 층들을 증착하는 방법에 관한 것이다.
집적회로들은 백만개의 소자들, 예를 들어, 트랜지스터들, 캐패시터들 및 레지스터들로 구성된다. 전계 효과 트랜지스터들과 같은 트랜지스터들은 통상적으로 소스, 드레인 및 게이트 스택을 포함한다. 통상적으로 게이트 스택은 실리콘 기판과 같은 기판, 기판 상의 실리콘 이산화물(SiO2)과 같은 게이트 유전체, 및 게이트 유전체 상의 게이트 전극을 포함한다.
게이트 전극에 이용되는 물질에는 알루미늄(Al) 및 폴리실리콘과 같은 금속이 포함된다. 도핑된 폴리실리콘은 알루미늄보다 낮은 임계 전압을 갖기 때문에, 도핑된 폴리실리콘이 게이트 전극에 바람직한 물질이 되었다. 임계 전압은 트랜지스터의 소스 및 드레인을 접속하는 채널을 게이트 아래에 형성하는데 요구되는 전압양이다. 낮은 임계 전압은, 트랜지스터에 의해 요구되는 전력량을 감소시키고 트랜지스터의 속도를 증가시키기 때문에 바람직하다.
폴리실리콘층 상에 텅스텐(W) 또는 텅스텐 질화물(WN)/텅스텐층의 스택을 포 함하는 게이트 전극이 개발되었다. 폴리실리콘층 상에 텅스텐 또는 텅스텐 질화물/텅스텐층의 스택을 포함하는 게이트 전극은 게이트 전극이, 90nm 및 이보다 작은 트랜지스터를 개발하는데 있어 점차적으로 중요시되고 있는 낮은 저항을 갖도록, 형성될 수 있다. 그러나 어닐링과 같은 순차적 프로세싱 단계들로 게이트 전극의 처리는 텅스텐 또는 텅스텐 질화물층 및 폴리실리콘층 사이에 바람직하지 못한 상호작용을 야기시킬 수 있다는 것으로 밝혀졌다. 예를 들어, 층들이 어닐링될 때 비균일 실리콘 질화물(SiN) 또는 텅스텐 실리사이드(WSix)층이 폴리실리콘과 텅스텐 또는 텅스텐 질화물층들 사이에 형성될 수 있다. 폴리실리콘과 텅스텐 또는 텅스텐 질화물층들 간의 반응은 게이트 전극의 저항 및 소자 신뢰성에 영향을 미칠 수 있다.
따라서, 낮은 저항 및 안정한 화학적 및 전기적 특성을 갖는 게이트 전극이 요구된다.
본 발명의 실시예들은 기판 상에 게이트 전극의 층들을 증착하는 방법을 제공하며, 상기 방법은 기판 상에 폴리실리콘층을 증착하는 단계, 상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 갖는 텅스텐 실리사이드층을 증착하는 단계, 및 게이트 전극의 층들이 형성되도록 상기 텅스텐 실리사이드층 상에 금속층을 증착하는 단계를 포함한다. 일 실시예에서, 폴리실리콘층은 도핑된 폴리실리콘층이며, 폴리실리콘-풍부층은 도핑된 폴리실리콘층 상에 증착된다.
또한 본 발명의 실시예들은 기판 상에 게이트 전극의 층들을 증착하는 방법을 제공하며, 상기 방법은 기판 상에 폴리실리콘층을 증착하는 단계, 상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 갖는 텅스텐 실리사이드층을 증착하는 단계, 및 게이트 전극의 층들이 형성되도록 상기 텅스텐 실리사이드층 상에 금속층을 증착하는 단계를 포함하며, 상기 텅스텐 실리사이드층을 증착하는 단계는 상기 폴리실리콘층을 실란에 노출하는 단계, 상기 텅스텐 실리사이드층이 증착되도록 디클로로실란 및 텅스텐 헥사플루오라이드를 포함하는 가스 혼합물을 반응시키는 단계, 및 상기 텅스텐 실리사이드층을 실란에 노출하는 단계를 포함한다. 일 실시예에서, 상기 폴리실리콘층을 실란에 노출하는 단계는 상기 폴리실리콘층 상에 얇은 실리콘층을 증착하는 단계를 포함하며, 상기 텅스텐 실리사이드층을 실란에 노출하는 단계는 텅스텐 실리사이드층 상에 얇은 실리콘층을 증착하는 단계를 포함한다.
또 다른 실시예에서, 기판을 처리하는 방법이 제공되며, 상기 방법은 통합된 프로세싱 시스템의 제 1 챔버에서 기판 상에 폴리실리콘층을 증착하는 단계 및 통합된 프로세싱 시스템의 제 2 챔버에서 상기 폴리실리콘층상에 약 20Å 내지 약 80Å 사이의 두께를 갖는 텅스텐 실리사이드층을 증착하는 단계를 포함하며, 상기 기판은 폴리실리콘층이 증착된 이후에 그리고 텅스텐 실리사이드층이 증착되기 이전에 상기 통합된 프로세싱 시스템의 외부 환경에 노출되지 않는다.
또 다른 실시예에서, 기판 상에 게이트 전극의 층들을 증착하는 방법이 제공되며, 상기 방법은 기판 상에 폴리실리콘층을 증착하는 단계, 약 2500Ω/㎠ 이상의 시트 저항을 갖는 층을 제공하기에 충분한 조건하에서 상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 갖는 층을 증착하는 단계, 및 상기 층 상에 금속층을 증착하는 단계를 포함한다.
본 발명의 상기 개시된 특징들이 보다 명확히 이해될 수 있도록, 간략하게 요약된 본 발명의 보다 상세한 설명은 첨부되는 도면들에 도시된 실시예들을 참조할 수 있다. 그러나 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가의 다른 유효 실시예들을 허용할 수 있다.
도 1은 본 발명의 실시예에 따라 증착된 도핑된 폴리실리콘층 및 폴리실리콘-풍부층의 인 농도 프로파일을 나타내는 그래프,
도 2는 통합된 프로세싱 시스템의 상부 단면도,
도 3은 실시예에 따른 게이트 전극을 포함하는 다중층을 포함하는 구조물의 단면도,
도 4는 본 발명의 일 실시예를 나타내는 흐름도,
도 5는 일 실시예에 따라 형성된 게이트 전극을 포함하는 소자의 단면도,
도 6은 상이한 실시예들에 따라 증착된 폴리실리콘층들과 텅스텐 실리사이드층들 사이의 계면에서의 산소 농도를 나타내는 그래프.
본 발명의 실시예들은 기판 상의 게이트 전극의 층들을 증착하는 방법에 관한 것이다. 본 발명의 실시예들은 폴리실리콘층과 금속층 사이에 얇은 층을 증착하는 방법을 제공하며, 상기 얇은 층은 약 2500Ω/㎠ 이상의 시트 저항을 갖는다. 일 실시예에서, 상기 층들은 폴리실리콘층, 텅스텐 실리사이드(WSix)층 및 금속층을 포함한다. 상기 층들은 원하는 시트 저항을 갖는 게이트 전극 및 상기 스택의 층들 사이에 양호한 접착력을 제공한다. 텅스텐 실리사이드층은 금속층과 폴리실리콘층 사이의 접착력을 강화시키는 얇은 접착 또는 아교 층이며 금속층과 폴리실리콘층 사이의 원치않는 반응을 방지한다. 텅스텐 실리사이드층은 약 20Å 내지 약 80Å 두께로 매우 얇기 때문에, 텅스텐 실리사이드층은 게이트 전극 스택의 저항을 크게 증가시키지 않는다. 비도핑 실리콘 기판상에서 측정된 적어도 약 2500Ω/㎠의 시트 저항을 갖는 텅스텐 실리사이드층은 본 발명의 실시예들에 따라 얻어진다.
일 실시예에서, 폴리실리콘층이 기판 상에 증착된다. 기판은 실리콘- 또는 실리콘-함유 기판일 수 있다. 본 명세서에서 정의되는 것으로, 실리콘 기판은 실리콘 웨이퍼와 같은 단층의 실리콘 기판 또는 하나 이상의 다른층들 상부에 실리콘층을 포함하는 구조물을 포함한다. 통상적으로, 기판 상에는 얇은 게이트 산화물층이 형성된다. 게이트 산화물층은 기판의 상부 표면이 산화되도록 산소를 포함하는 대기에 기판을 노출시킴으로써 형성된 실리콘 산화물층일 수 있다.
폴리실리콘층은 약 500Å 내지 약 2000Å일 수 있다. 일 면에서, 폴리실리콘층은 인 도핑 폴리실리콘층과 같이, 도핑된 폴리실리콘층이다. 폴리실리콘층은 열화학적 기상 증착 프로세스에서 실란(SiH4) 또는 디실란(Si2H6)과 같은 실리콘 소스 및 포스핀(PH3)과 같은 도펀트 소스를 포함하는 가스 혼합물을 반응시킴으로써 증착될 수 있다. 열화학적 기상 증착 프로세스는 폴리사이드 센튜라
Figure 112007015574913-PCT00001
시스템의 폴리젠(POLYgenTM) 챔버에서 수행될 수 있다. 가스 혼합물은 질소와 같은 캐리어 가스 또는 아르곤 또는 헬륨과 같은 불활성 가스를 더 포함할 수 있다. 폴리실리콘층에 대한 예시적인 증착 조건은 프로세싱 챔버에서 약 30sccm 내지 약 200sccm 유량의 실리콘 소스, 약 50Torr 내지 약 300Torr의 챔버 압력, 및 약 570℃ 내지 약 750℃의 기판 지지 온도를 포함한다. 통상적으로, 기판 온도는 기판 지지체 온도 보다 약 30℃ 낮다. 상기 제공되는 프로세싱 조건 및 애플리케이션 처리량은 300mm 기판에 대한 프로세싱 조건에 대한 것으로, 프로세싱 조건은 다른 크기의 기판에 따라 조절될 수 있다는 것을 주지해야 한다.
선택적 실시예에서, 도핑된 폴리실리콘층은 비도핑 폴리실리콘층을 증착하고 비도핑 폴리실리콘층을 도펀트 소스에 노출함으로써 형성된다.
도핑된 폴리실리콘층이 증착된 이후, 폴리실리콘-풍부층이 도핑된 폴리실리콘층상에 증착될 수 있다. 본 명세서에서 정의되는 것으로, 폴리실리콘-풍부층은 도핑된 폴리실리콘층의 낮은 도펀트 농도를 함유하는 폴리실리콘층 또는 비도핑 폴리실리콘층이다. 예를 들어, 도핑된 폴리실리콘층은 약 1×1020 내지 약 1×1021 atoms/㎤의 도펀트 농도를 가질 수 있고, 폴리실리콘-풍부층은 폴리실리콘-풍부층이 폴리실리콘층 보다 낮은 도펀트 농도를 갖도록 그의 상부 표면에 약 1×1019 atoms/㎤의 도펀트 농도를 가질 수 있다. 폴리실리콘-풍부층은 도핑된 폴리실리콘을 증착하는데 이용되는 챔버와 동일한 챔버에서 수행되어, 도핑된 폴리실리콘층과 폴리실리콘-풍부층의 증착이 인시튜로, 즉, 2개층을 증착하는 사이에 기판이 챔버 외부 대기에 노출되지 않고 동일한 챔버에서 수행될 수 있다. 폴리실리콘층은 챔버속으로의 도펀트 소스 흐름을 중단하고 챔버에 실리콘 소스의 흐름을 지속함으로써 증착될 수 있다. 또 다른 실시예에서, 챔버 속으로의 도펀트 소스 및 실리콘 소스의 흐름이 중단되고, 챔버 속으로 실리콘 소스의 흐름이 다시 시작되어 폴리실리콘-풍부층이 증착되기 이전에, 캐리어 가스의 흐름으로 챔버가 정화된다.
선택적으로, 폴리실리콘-풍부층은 폴리실리콘층 증착하는데 이용되는 챔버와 다른 챔버에서 수행될 수 있다. 폴리실리콘층을 증착하는데 이용되는 챔버 및 폴리실리콘-풍부층을 증착하는데 이용되는 챔버는 통합된 프로세싱 시스템의 일부일 수 있으며 상기 층들은 2개 층을 증착하는 사이에 통합된 프로세싱 시스템 외부 대기에 기판이 노출되고 진공을 파손시키지 않고 증착될 수 있다.
폴리실리콘-풍부층은 도펀트의 농도 변화를 가질 수 있으며, 도 1에 도시된 것처럼, 폴리실리콘-풍부층을 증착하는 동안 나머지 도펀트 소스가 챔버로부터 제거됨에 따라 도펀트 농도는 감소된다. 도 1은 그 상부에 폴리실리콘-풍부층이 증착된 도핑된 폴리실리콘층의 인 농도 프로파일을 나타낸다. 폴리실리콘-풍부층의 표면은 약 3×1019 atoms/㎤의 인 농도를 갖는다. 폴리실리콘-풍부층의 인 농도는 도핑된 폴리실리콘층의 인 농도(약 2×1020 atoms/㎤)와 실질적으로 동일해질 때까지 폴리실리콘-풍부층의 깊이에 따라 증가한다.
도핑된 폴리실리콘층에 대한 포스핀과 같은 도펀트 소스가 텅스텐 실리사이 드층을 증착하는데 이용되는 실리콘 소스로부터 손상되는 것으로 발견됨에 따라, 폴리실리콘-풍부층의 증착은 순차적으로 증착된 텅스텐 실리사이드층의 핵형성을 강화시키는 것으로 여겨진다.
도핑된 폴리실리콘층 및 폴리실리콘-풍부층이 증착된 이후, 그 위에 텅스텐 실리사이드층이 증착된다. 텅스텐 실리사이드층은 열화학적 기상 증착 프로세스에서 디클로로실란(SiH2Cl2) 또는 실란(SiH4)와 같은 실리콘 소스, 및 텅스텐 헥사플로라이드(WF6)와 같은 텅스텐 소스를 포함하는 가스 혼합물을 반응시킴으로써 증착될 수 있다. 가스 혼합물은 질소와 같은 캐리어 가스 또는 불활성 가스를 더 포함할 수 있다. 텅스텐 실리사이드층에 대한 예시적인 증착 조건은 증착 챔버로 약 30sccm 내지 약 100sccm의 실리콘 소스 유량, 증착 챔버로 약 1sccm 내지 약 3sccm의 텅스텐 소스 유량, 약 0.8Torr 내지 약 2Torr의 챔버 압력, 및 약 400℃ 내지 약 650℃의 기판 지지체 온도를 포함한다. 기판 지지체 온도는 사용되는 실리콘 소스에 따라 변할 수 있다. 예를 들어, 실리콘 소스로서 디클로로실란이 이용될 경우 약 500℃ 내지 약 650℃의 기판 지지체 온도가 바람직하며, 실리콘 소스로서 실란이 사용될 경우 약 400℃ 내지 약 500℃의 기판 지지체 온도가 바람직하다. 텅스텐 실리사이드층은 약 20Å 내지 약 80Å의 두께를 가지며 약 2.1:1 내지 약 3.0:1의 실리콘 대 텅스텐의 비율을 갖는다. 실리콘 대 텅스텐 비율은 실리콘 소스와 텅스텐 소스의 유량 비율을 조절함으로써 조정할 수 있다.
바람직한 실시예에서, 텅스텐 실리사이드층을 증착하는 단계는 폴리실리콘층 상에 텅스텐 실리사이드층을 증착하기 위해, 실리콘 소스 및 텅스텐 소스를 포함하는 가스 혼합물을 반응시키기 이전에, 폴리실리콘층, 즉 앞서 개시된 것처럼 도핑된 폴리실리콘층 또는 도핑된 폴리실리콘층 상부의 폴리실리콘-풍부층을 실란과 같은 실리콘 소스에 노출하는 단계를 포함한다. 폴리실리콘층은 텅스텐 실리사이드층을 증착하는데 이용되는 챔버와 동일한 챔버에서 실리콘 소스에 노출될 수 있다. 캐리어 가스가 실리콘 소스 보다 먼저 챔버에 주입될 수 있다. 실리콘 소스는 약 700sccm과 같이, 약 300sccm 내지 약 1200sccm의 유량으로 챔버에 주입될 수 있고, 챔버 압력은 약 5Torr 내지 약 10Torr이고 챔버내의 기판 지지체 부재는 약 550℃와 같이, 약 400℃ 내지 약 650℃의 온도로 가열될 수 있다. 실리콘 소스는 폴리실리콘층 상에 약 5Å 내지 약 10Å의 두께를 갖는, 몇 개, 예를 들어 1-2개 원자층과 같이, 얇은 실리콘층을 증착하는데 충분한 시간 주기 동안 챔버로 유입될 수 있다. 예를 들어, 실리콘 소스는 약 20 초 내지 약 50초 동안 약 300sccm 내지 약 1200sccm의 유량으로 챔버로 유입될 수 있다. 얇은 실리콘층의 증착은 텅스텐 실리사이드층의 핵형성을 강화시키며 2 이상의 실리콘/텅스텐 비율을 갖는 텅스텐 실리사이드층의 형성에 기여하는 것으로 여겨진다. 본 발명의 일 실시예에 따라 폴리실리콘층 상에 증착된 50Å 텅스텐 실리사이드층은 X-레이 광전자 분광기로 측정할 때, 약 2.4:1의 실리콘/텅스텐 비율을 갖는다.
2 이상의 실리콘/텅스텐 비율을 갖는 텅스텐 실리사이드층이 바람직하며, 보다 낮은 실리콘/텅스텐 비율을 갖는 텅스텐 실리사이드층은 어닐링과 같은, 순차적인 기판 처리 단계 동안 하부에 놓인 폴리실리콘층과 반응하는 과잉의 텅스텐 라디 칼을 제공하여, 폴리실리콘층과 텅스텐 실리사이드층 사이에 물리적 및 저항성 비균일성을 갖는 계면을 형성하는 것으로 밝혀졌다. 2 이상의 실리콘/텅스텐 비율을 갖는 텅스텐 실리사이드층이 바람직하며, 보다 낮은 실리콘/텅스텐 비율을 갖는 텅스텐 실리사이드층들은 박편화 경향을 나타내는 것으로 밝혀졌다.
상기 개시된 실시예에서 얇은 실리콘층을 증착하기 위해 폴리실리콘층을 실리콘 소스에 노출한 후, 챔버에 디클로로실란이 주입된다. 디클로로실란의 안정한 유량이 챔버에 설정된다. 예를 들어, 약 60sccm과 같이, 약 30sccm 내지 약 100 sccm의 디클로로실란의 유량, 및 약 1 내지 약 1.2Torr의 챔버 압력이 이용될 수 있다. 다음 약 2sccm과 같이, 약 1 sccm 내지 약 3 sccm의 유량으로 텅스텐 헥사플루오라이드가 챔버에 주입되고 약 1 내지 약 1.2Torr와 같이 약 0.8Torr 내지 약 2 Torr의 챔버 압력이 제공된다. 디클로로실란 및 텅스텐 헥사플루오라이드는 텅스텐 실리사이드층이 증착되도록 챔버내에서 반응한다. 챔버의 기판 지지 부재는 텅스텐 실리사이드층을 증착하는 동안, 약 550℃와 같이 약 400℃ 내지 약 650℃의 온도로 가열된다. 앞서 개시된 것처럼, 온도는 사용되는 소스 가스에 따라 변할 수 있다. 선택적으로, 디클로로실란의 흐름은 텅스텐 실리사이드층을 증착한 후 챔버를 정화시키기 위한 캐리어 가스의 흐름으로 유지된다.
텅스텐 실리사이드층의 증착 이후, 텅스텐 실리사이드층은 실란과 같은 실리콘 소스의 흐름에 노출될 수 있다. 캐리어 가스가 사용될 수도 있다. 실란은 약 500℃ 내지 약 600℃의 기판 지지 부재 온도 및 약 1 내지 약 1.2 Torr와 같이, 약 0.8 Torr 내지 약 2 Torr의 챔버 압력에서 약 100 sccm 내지 약 700 sccm의 유량으 로 챔버에 유입될 수 있다. 텅스텐 실리사이드층을 실란 흐름에 노출함으로써 층을 증착하는데 이용되는 WF6와 같은, 불소-함유 전구체로부터의 잔류물로서 텅스텐 실리사이드층과 관련될 수 있는 바람직하지 못한 불소 원자의 제거를 가능케 한다. 실란은 분해되고 챔버로부터 펌핑될 수 있는 HF 및 SiF4를 형성하도록 불소 원자와 조합된다. 텅스텐 실리사이드층을 실란에 노출함으로써 하부에 놓인 층들을 보호하는 실리콘 산화물 캡을 형성하도록 산화될 수 있는 실리콘-풍부 캡이 텅스텐 실리사이드 상에 형성될 수 있다.
또 다른 실시예에서, 실리콘 소스에 폴리실리콘층 노출, 텅스텐 실리사이드층의 증착, 및 실리콘 소스에 텅스텐 실리사이드층 노출은, 기판이 실리콘 소스에 텅스텐 실리사이드층의 노출을 통해 실리콘 소스에 폴리실리콘층의 노출로부터 통합된 프로세싱 시스템 외부의 대기에 노출되지 않도록, 통합된 프로세싱 시스템내의 상이한 챔버에서 수행될 수 있다.
선택적으로, 텅스텐 실리사이드층이 실란에 노출된 후에, 암모니아(NH3) 흐름이 챔버에 주입되어 텅스텐 실리사이드층의 표면 상에 텅스텐-질소 결합이 형성되어 텅스텐 질화물층의 증착이 강화될 수 있다.
본 명세서에 개시된 임의의 실시예에 따라 텅스텐 실리사이드를 증착한 후, 텅스텐 실리사이드층 상에 금속층이 증착된다. 금속층은 텅스텐층, 텅스텐 질화물층, 또는 텅스텐 층이 수반되는 텅스텐 질화물층과 같은 텅스텐층과 텅스텐 질화물층의 조합물일 수 있다. 텅스텐 및 텅스텐 질화물층은 예를 들어, CVD, 물리적 기 상 증착(PVD), 또는 원자층 증착(ALD)에 의해 증착될 수 있다. 텅스텐 및 텅스텐 질화물층들을 증착하는 예시적인 프로세싱 조건은 2002년 2월 26일자로 "Cyclical Deposition of Tungsten nitride for Metal Oxide Gate Electrode"란 명칭으로 출원되고 공동 양도된 출원 번호 10/084,767호에 개시되어 있으며, 상기 문헌은 본 명세서에 개시된 발명의 청구항 및 설명과 일치하지 않는 범위에서 참조된다.
통합된 프로세싱 시퀀스
일 실시예에서, 게이트 전극의 층들을 증착하는 통합된 방법이 제공되며, 상기 층들은 통합된 프로세싱 시스템 내의 기판 상에 약 20Å 내지 약 80Å의 두께를 갖는 텅스텐 실리사이드층 및 폴리실리콘층을 포함한다. 사용될 수 있는 통합된 프로세싱 시스템의 예로는 도 2에 개략적으로 도시된, 캘리포니아 산타클라라의 어플라이드 머티어리얼스사에서 입수가능한 폴리사이드 센튜라
Figure 112007015574913-PCT00002
시스템이 있다. 통합된 프로세싱 시스템(100)은 중앙 이송 챔버(102), 이송 로봇(103), 로드락(104, 106) 및 프로세싱 챔버(110, 114, 116, 118)를 포함할 수 있다. 프로세싱 챔버(110, 114, 116, 118)는 열화학적 기상 증착 챔버들이다. 일 실시예에서, 프로세싱 챔버(110, 116)는 폴리젠(POLYgenTM) 챔버이며, 프로세싱 챔버(114, 118)는 DCS(디클로로실란) xZ 300 챔버이며, 이들은 어플라이드 머티어리얼스사에서 입수가능하다. 폴리젠(POLYgenTM) 챔버는 본 발명의 실시예들의 도핑된 층 및 폴리실리콘-풍부층을 증착하는데 이용될 수 있는 저압 화학적 기상 증착(LPCVD) 챔버이다. DCS xZ 300 챔버는 본 발명의 실시예들에 따라 텅스텐 실리사이드층을 증착하는데 이용될 수 있는 화학적 기상 증착 챔버이다.
선택적 실시예(미도시)에서, 단지 2개의 프로세싱 챔버를 가지는 폴리사이드 센튜라
Figure 112007015574913-PCT00003
시스템이 사용될 수 있으며, 여기서 하나의 프로세싱 챔버는 폴리젠(POLYgenTM) 챔버이고 또 다른 챔버는 DCS xZ 300 챔버이다.
기판 상에 게이트 전극의 층들을 증착하는, 통합된 프로세싱 시퀀스를 포함하는 방법이 도 2-4를 참조로 하기에 개시된다. 도 3은 게이트 전극의 층들을 포함하는 구조물(200)의 단면도이다. 도 4는 실시예의 프로세싱 시퀀스를 요약하는 흐름도이다.
도 3에 도시된 실시예에서, 기판(202)은 단계(302)(도 4)에 도시된 것처럼, 통합된 프로세싱 시스템(100)에 도입된다. 기판(202) 상에는 게이트 산화물층(204)이 포함된다. 기판(202)은 로드락(104 또는 106)을 통해 통합된 프로세싱 시스템으로 도입된다. 기판(202)은 이송 로봇(103)에 의해 프로세싱 챔버(110)로 이송된다. 단계(304)에 도시된 것처럼, 프로세싱 챔버(110)에서 게이트 산화물층(204) 상에 도핑된 폴리실리콘층(106)이 증착된다. 다음 단계(306)에 도시된 것처럼, 프로세싱 챔버(110)에서 도핑된 폴리실리콘층(206) 상에 폴리실리콘-풍부층(208)이 증착된다. 단계(308)에 도시된 것처럼, 이송 로봇(103)에 의해 프로세싱 챔버(118)로 기판(202)이 이송된다. 단계(310)에 도시된 것처럼, 기판(202) 및 그위의 층들이 프로세싱 챔버(118)에서 실란에 노출된다. 기판(202) 및 그위의 층들 은 그위에 얇은 실리콘층(210)이 증착되기에 충분한 시간 주기 동안 실란에 노출될 수 있다. 단계(312)에 도시된 것처럼, 텅스텐 실리사이드층(212)이 프로세싱 챔버(118)에서 증착된다. 다음, 단계(314)에 도시된 것처럼, 기판(202) 및 그위의 층들이 프로세싱 챔버(114)에서 실란에 노출된다. 기판(202) 및 그 위의 층들은 실리콘-풍부 캡(214)이 형성되기에 충분한 시간 주기 동안 실란에 노출될 수 있다. 다음, 단계(316)에 도시된 것처럼, 기판(202)은 통합된 프로세싱 시스템(100)으로부터 제거된다. 단계(318)에 도시된 것처럼, 금속층(216)이 기판 상에 증착된 층들의 상부에 증착된다. 금속층은 텅스텐층, 텅스텐 질화물층 또는 이들의 조합물일 수 있다.
본 발명의 일부 실시예들에서, 폴리실리콘층이 기판 상에 증착된 다음 텅스텐 실리사이드층이 대기에 기판이 노출되지 않고 폴리실리콘층 상에 증착되지만, 또 다른 실시예에서 기판은 폴리실리콘층의 증착 이후 및 텅스텐 실리사이드층의 증착 이전에 대기에 노출될 수 있다. 이러한 실시예에서, 기판은 폴리실리콘층의 증착 이후 및 텅스텐 실리사이드층의 증착 이전에, 기판을 불산(HF)에 노출시킴으로써, 예를 들어, 기판을 HF로 린싱함으로써 세정된다.
본 발명의 실시예에 따른 게이트 전극의 층들을 포함하는 반도체 소자의 예가 도 5에 도시된다. 도 5는 소스(504) 및 드레인(506) 영역을 가지는 기판(502)을 포함하는 NMOS 트랜지스터(500)를 나타낸다. 기판은 소스(504) 및 드레인(506) 영역 사이에서 기판 상에 형성된 게이트 산화물층(508)을 포함한다. 게이트 전극(510)은 본 발명의 임의의 실시예에 따라 형성된 게이트 전극층들(미도시)을 포함 한다. 스페이서(512)는 게이트 산화물층(508) 및 게이트 전극(510)을 둘러싼다.
본 발명의 실시예들이 하기의 예로 개시되며, 이는 청구되는 본 발명의 범주를 제한하고자 하는 것은 아니다.
예들
상부에 산화물층이 형성된 300mm 기판이 폴리젠(POLYgenTM) 챔버 및 DCS xZ 300 챔버를 포함하는 폴리사이드 센튜라
Figure 112007015574913-PCT00004
시스템에 도입된다. 도핑된 폴리실리콘층은 실란 및 수소로 희석된 1% 포스핀을 포함하는 가스 혼합물로부터 열화학적 기상 증착 프로세스를 이용하여 폴리젠(POLYgenTM) 챔버의 기판 상에 증착된다. 도핑된 폴리실리콘층은 600℃의 기판 지지체 온도 및 약 558℃의 기판 온도에서 약 55초 동안 99sccm 유량의 포스핀 및 50sccm 유량의 디실란으로 150Torr의 압력에서 증착된다. 질소는 증착 이전에 챔버로 유입되고 증착 동안 및 증착 이후 유지된다. 다음 비도핑 폴리실리콘층이 약 25초 동안 80sccm 유량의 디실란, 150Torr의 압력 및 600℃의 기판 지지체 온도 및 약 558℃의 기판 온도를 이용하여 도핑된 폴리실리콘층 상에 증착된다. 다음 기판은 DCS xZ 300 챔버로 이송된다. 아르곤은 1000sccm으로 챔버의 디클로로실란 소스 포트를 통해 주입되며 또한 1000sccm으로 챔버의 텅스텐 헥사플루오라이드 소스 포트를 통해 주입되며 텅스텐 실리사이드층의 증착 동안 유지된다. 다음 기판은 300sccm 유량의 실란에 35초 동안 노출된다. 다음 2sccm 유량의 텅스텐 헥사플루오라이드가 챔버에 주입되기 이전에 10초 동안 60sccm 유량으로 디클로로실란이 챔버로 주입되고 디클로로실란의 흐름은 50Å 텅스텐 실리사이드층을 증착하기 위해 20초 동안 텅스텐 헥사플루오라이드의 흐름으로 유지된다. 텅스텐 실리사이드층은 1.2Torr의 압력에서 550℃의 기판 지지체 온도 및 약 443℃의 기판 온도에서 증착된다. 텅스텐 헥사플루오라이드의 흐름이 종결되고, 디클로로실란의 흐름이 10초 동안 유지된다. 다음 기판은 2Torr의 압력에서의 550℃의 기판 지지체 온도 및 약 443℃의 기판 온도에서 10초 동안 100sccm 유량의 실란에 노출된다.
폴리실리콘층 및 텅스텐 실리사이드층의 증착 사이에 통합된 프로세싱 시스템으로부터 기판을 제거하지 않고 폴리실리콘층 및 텅스텐 실리사이드층을 증착함으로써, 산소 노출로 인한 폴리실리콘층과 텅스텐 실리사이드층 사이의 계면의 산화가 최소화된다. 기판은 폴리실리콘층 및 텅스텐 실리사이드층의 증착 사이에 통합된 프로세싱 시스템의 이송 챔버를 통해 챔버들 사이에서 이송되지만, 통상적으로 이송 챔버는 질소 분위기로 유지되어, 산소에 기판 노출은 최소화되면서 기판은 통합된 프로세싱 시스템 내에 있게 된다. 이송 챔버는 약 3 Torr와 같이, 약 2.5 Torr 내지 약 5 Torr의 압력을 갖는다. 도 6에 도시된 것처럼, 폴리실리콘층 및 텅스텐 실리사이드층은 통합된 프로세싱 시스템(도 6의 인시튜 통합 라인)내에서 증착되어 폴리실리콘층과 텅스텐 실리사이드층 사이의 계면에서 산소 농도는 폴리실리콘층과 텅스텐 실리사이드층 사이의 계면에서의 산소 농도 보다 낮고, 폴리실리콘층은 제 1 프로세싱 챔버에서 증착되며 텅스텐 실리사이드층은 외부 환경에 노출되어 제 2 프로세싱 챔버에서 3시간 후에 증착된다(도 6에서 유휴 시간 3시간 라 인). 외부 대기에 노출된 기판의 폴리실리콘층과 텅스텐 실리사이드층 사이의 계면에서 산소 농도는 불산(HF)으로 기판을 린싱함으로써 감소될 수 있지만, 통합된 프로세싱 시스템 내에서 폴리실리콘층 및 텅스텐 실리사이드층을 증착하는 것이 바람직하다.
지금까지 본 발명의 실시예들에 관해 개시했지만, 본 발명의 다른 실시예 및 추가적인 실시예들이 첨부되는 특허청구범위에 의해 한정되는 본 발명의 기본 사상 및 범주를 이탈하지 않고 고안될 수 있다.

Claims (20)

  1. 기판 상에 게이트 전극의 층들을 증착하는 방법으로서,
    상기 기판 상에 폴리실리콘층을 증착하는 단계;
    상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 갖는 텅스텐 실리사이드층을 증착하는 단계; 및
    상기 텅스텐 실리사이드층 상에 금속층을 증착하는 단계
    를 포함하는, 게이트 전극의 층들을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 텅스텐 실리사이드층을 증착하는 단계는 열화학적 기상 증착 프로세스에서 실리콘 소스 및 텅스텐 소스를 포함하는 가스 혼합물을 반응시키는 단계를 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 실리콘 소스는 디클로로실란이며 상기 텅스텐 소스는 텅스텐 헥사플루오라이드인 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  4. 제 2 항에 있어서,
    상기 실리콘 소스는 실란이며 상기 텅스텐 소스는 텅스텐 헥사플루오라이드 인 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  5. 제 2 항에 있어서,
    상기 텅스텐 실리사이드층을 증착하는 단계는 상기 가스 혼합물을 반응시키기 이전에 상기 폴리실리콘층 상에 약 5Å 내지 약 10Å 두께를 가지는 실리콘층을 증착하는 단계를 더 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  6. 제 5 항에 있어서,
    상기 증착된 텅스텐 실리사이드층을 실란에 노출하는 단계를 더 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 폴리실리콘층은 도핑되며, 상기 텅스텐 실리사이드층이 증착되기 이전에 상기 폴리실리콘층 보다 낮은 도펀트 농도를 포함하는 폴리실리콘-풍부층이 상기 폴리실리콘층 상에 증착되는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 텅스텐 실리사이드층은 약 2.1:1 내지 약 3.0:1의 실리콘 대 텅스텐 비 율을 가지는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 금속층은 텅스텐층, 텅스텐 질화물층, 또는 이들의 조합물인 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  10. 제 1 항에 있어서,
    상기 폴리실리콘층을 증착한 이후 및 상기 텅스텐 실리사이드층을 증착하기 이전에 상기 기판을 세정하는 단계를 더 포함하며, 상기 기판을 세정하는 단계는 상기 기판을 불산(hydrofluoric acid)에 노출하는 단계를 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  11. 기판 상에 게이트 전극의 층들을 증착하는 방법으로서,
    상기 기판 상에 폴리실리콘층을 증착하는 단계;
    상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 갖는 텅스텐 실리사이드층을 증착하는 단계; 및
    상기 텅스텐 실리사이드층 상에 금속층을 증착하는 단계
    를 포함하며, 상기 텅스텐 실리사이드를 증착하는 단계는,
    상기 폴리실리콘층을 실란에 노출하는 단계;
    상기 텅스텐 실리사이드층이 증착되도록 디클로로실란 및 텅스텐 헥사 플루오라이드를 포함하는 가스 혼합물을 반응시키는 단계; 및
    상기 텅스텐 실리사이드층을 실란에 노출하는 단계
    를 포함하는, 게이트 전극의 층들을 증착하는 방법.
  12. 제 11 항에 있어서,
    상기 텅스텐 실리사이드층은 기판 프로세싱 챔버에서 증착되며, 상기 텅스텐 실리사이드층을 실란에 노출하는 단계는 약 0.8 Torr 내지 약 2 Torr의 압력에서 약 100sccm 내지 약 700sccm의 유량으로 상기 기판 프로세싱 챔버에 실란을 주입하는 단계를 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  13. 제 11 항에 있어서,
    상기 폴리실리콘층을 실란에 노출하는 단계는 약 5 Torr 내지 약 10 Torr의 압력에서 약 300 sccm 내지 약 1200sccm의 유량으로 상기 기판 프로세싱 챔버에 실란을 주입하는 단계를 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  14. 제 11 항에 있어서,
    텅스텐 실리사이드층을 증착하기 이전에 상기 도핑된 폴리실리콘층 상에 폴리실리콘-풍부층을 증착하는 단계를 더 포함하며, 상기 폴리실리콘층은 도핑되며, 상기 폴리실리콘-풍부층은 상기 도핑된 폴리실리콘층 보다 낮은 도펀트 농도를 갖 는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  15. 제 11 항에 있어서,
    상기 기판은 상기 텅스텐 실리사이드층을 증착하는 동안 약 400℃ 내지 약 650℃의 온도로 가열되는 기판 지지 부재 상에서 지지되는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  16. 제 11 항에 있어서,
    상기 폴리실리콘층을 증착한 이후 및 상기 텅스텐 실리사이드층을 증착하기 이전에 상기 기판을 세정하는 단계를 더 포함하며, 상기 기판을 세정하는 단계는 상기 기판을 불산에 노출하는 단계를 포함하는 것을 특징으로 하는 게이트 전극의 층들을 증착하는 방법.
  17. 기판 처리 방법으로서,
    통합된 프로세싱 시스템의 제 1 챔버에서 상기 기판 상에 폴리실리콘층을 증착하는 단계; 및
    상기 통합된 프로세싱 시스템의 제 2 챔버에서 상기 폴리실리콘층 상에 약 20Å 내지 약 80Å의 두께를 가지는 텅스텐 실리사이드층을 증착하는 단계
    를 포함하며, 상기 기판은 폴리실리콘층을 증착한 이후 및 텅스텐 실리사이드층을 증착하기 이전에 상기 통합된 프로세싱 시스템 외부의 대기에 노출되지 않 는, 기판 처리 방법.
  18. 제 17 항에 있어서,
    상기 텅스텐 실리사이드층 상에 금속층을 증착하는 단계를 더 포함하며, 상기 폴리실리콘층, 텅스텐 실리사이드층, 및 금속층은 상기 기판 상에 게이트 전극의 층들을 형성하는 것을 특징으로 하는 기판 처리 방법.
  19. 제 18 항에 있어서,
    상기 금속층은 텅스텐층, 텅스텐 질화물층, 또는 이들의 조합물인 것을 특징으로 하는 기판 처리 방법.
  20. 제 17 항에 있어서, 상기 텅스텐 실리사이드층을 증착하는 단계는,
    상기 폴리실리콘층을 실란에 노출하는 단계;
    상기 텅스텐 실리사이드층을 증착하기 위해 디클로로실란 또는 실란 및 텅스텐 헥사플루오라이드를 포함하는 가스 혼합물을 반응시키는 단계; 및
    상기 텅스텐 실리사이드층을 실란에 노출하는 단계
    를 포함하는 것을 특징으로 하는 기판 처리 방법.
KR1020077004146A 2004-07-30 2005-07-07 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화 KR100871006B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US59258504P 2004-07-30 2004-07-30
US60/592,585 2004-07-30

Publications (2)

Publication Number Publication Date
KR20070037645A true KR20070037645A (ko) 2007-04-05
KR100871006B1 KR100871006B1 (ko) 2008-11-27

Family

ID=35429287

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077004146A KR100871006B1 (ko) 2004-07-30 2005-07-07 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화

Country Status (5)

Country Link
US (1) US20060024959A1 (ko)
JP (1) JP2008508721A (ko)
KR (1) KR100871006B1 (ko)
CN (1) CN1989597A (ko)
WO (1) WO2006019603A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100940161B1 (ko) * 2007-12-27 2010-02-03 주식회사 동부하이텍 모스트랜지스터 및 그 제조방법

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
WO2009042713A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Vapor deposition of tungsten materials
TWI517390B (zh) 2010-06-10 2016-01-11 應用材料股份有限公司 具增強的遊離及rf功率耦合的低電阻率鎢pvd
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
KR102441431B1 (ko) 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
WO2019093206A1 (ja) * 2017-11-09 2019-05-16 国立研究開発法人産業技術総合研究所 半導体装置及びその製造方法

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374700A (en) * 1981-05-29 1983-02-22 Texas Instruments Incorporated Method of manufacturing silicide contacts for CMOS devices
US4445266A (en) * 1981-08-07 1984-05-01 Mostek Corporation MOSFET Fabrication process for reducing overlap capacitance and lowering interconnect impedance
US4701423A (en) * 1985-12-20 1987-10-20 Ncr Corporation Totally self-aligned CMOS process
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
JP2558931B2 (ja) * 1990-07-13 1996-11-27 株式会社東芝 半導体装置およびその製造方法
US5643633A (en) * 1992-12-22 1997-07-01 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor depostiton
US5500249A (en) * 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5997950A (en) * 1992-12-22 1999-12-07 Applied Materials, Inc. Substrate having uniform tungsten silicide film and method of manufacture
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
JPH07176484A (ja) * 1993-06-28 1995-07-14 Applied Materials Inc 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法
US6090706A (en) * 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
US5565382A (en) * 1993-10-12 1996-10-15 Applied Materials, Inc. Process for forming tungsten silicide on semiconductor wafer using dichlorosilane gas
US5480837A (en) * 1994-06-27 1996-01-02 Industrial Technology Research Institute Process of making an integrated circuit having a planar conductive layer
EP0704551B1 (en) * 1994-09-27 2000-09-06 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
JPH08264660A (ja) * 1995-03-24 1996-10-11 Nec Corp 半導体装置の製造方法
US5480830A (en) * 1995-04-04 1996-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Method of making depleted gate transistor for high voltage operation
EP0746027A3 (en) * 1995-05-03 1998-04-01 Applied Materials, Inc. Polysilicon/tungsten silicide multilayer composite formed on an integrated circuit structure, and improved method of making same
EP0785574A3 (en) * 1996-01-16 1998-07-29 Applied Materials, Inc. Method of forming tungsten-silicide
US5710454A (en) * 1996-04-29 1998-01-20 Vanguard International Semiconductor Corporation Tungsten silicide polycide gate electrode formed through stacked amorphous silicon (SAS) multi-layer structure.
US5804499A (en) * 1996-05-03 1998-09-08 Siemens Aktiengesellschaft Prevention of abnormal WSix oxidation by in-situ amorphous silicon deposition
US5728615A (en) * 1996-07-18 1998-03-17 Vanguard International Semiconductor Corporation Method of manufacturing a polysilicon resistor having uniform resistance
US5705438A (en) * 1996-10-18 1998-01-06 Vanguard International Semiconductor Corporation Method for manufacturing stacked dynamic random access memories using reduced photoresist masking steps
US6297152B1 (en) * 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
KR100425147B1 (ko) * 1997-09-29 2004-05-17 주식회사 하이닉스반도체 반도체소자의제조방법
TW379371B (en) * 1997-12-09 2000-01-11 Chen Chung Jou A manufacturing method of tungsten silicide-polysilicon gate structures
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6083815A (en) * 1998-04-27 2000-07-04 Taiwan Semiconductor Manufacturing Company Method of gate etching with thin gate oxide
US6524954B1 (en) * 1998-11-09 2003-02-25 Applied Materials, Inc. Reduction of tungsten silicide resistivity by boron ion implantation
US6110812A (en) * 1999-05-11 2000-08-29 Promos Technologies, Inc. Method for forming polycide gate
KR20010008590A (ko) * 1999-07-02 2001-02-05 김영환 반도체장치의 게이트전극 제조방법
KR100393205B1 (ko) * 2000-05-30 2003-07-31 삼성전자주식회사 자기정렬 콘택구조를 가진 메모리영역과 샐리사이디드된듀얼 게이트 구조의 로직영역이 병합된 mml 반도체소자 및 그 제조방법
US6350684B1 (en) * 2000-06-15 2002-02-26 Stmicroelectronics, Inc. Graded/stepped silicide process to improve MOS transistor
US20020008294A1 (en) * 2000-07-21 2002-01-24 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method for manufacturing same
KR100351907B1 (ko) * 2000-11-17 2002-09-12 주식회사 하이닉스반도체 반도체 소자의 게이트 전극 형성방법
DE10115228B4 (de) * 2001-03-28 2006-07-27 Samsung Electronics Co., Ltd., Suwon Steuerung des anormalen Wachstums bei auf Dichlorsilan (DCS) basierenden CVD-Polycid WSix-Filmen
JP2002328775A (ja) * 2001-04-27 2002-11-15 Alps Electric Co Ltd 座標入力装置
US20020162500A1 (en) * 2001-05-02 2002-11-07 Applied Materials, Inc. Deposition of tungsten silicide films
US6562675B1 (en) * 2001-08-17 2003-05-13 Cypress Semiconductor Corp. Adjustment of threshold voltages of selected NMOS and PMOS transistors using fewer masking steps
US20030040171A1 (en) * 2001-08-22 2003-02-27 Weimer Ronald A. Method of composite gate formation
US6699777B2 (en) * 2001-10-04 2004-03-02 Micron Technology, Inc. Etch stop layer in poly-metal structures
JP3781666B2 (ja) * 2001-11-29 2006-05-31 エルピーダメモリ株式会社 ゲート電極の形成方法及びゲート電極構造
US20030123216A1 (en) * 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
KR20040016696A (ko) * 2002-08-19 2004-02-25 삼성전자주식회사 반도체장치의 전극형성방법 및 장치
JP2004087877A (ja) * 2002-08-28 2004-03-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
US20040061190A1 (en) * 2002-09-30 2004-04-01 International Business Machines Corporation Method and structure for tungsten gate metal surface treatment while preventing oxidation
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
JP2005235987A (ja) * 2004-02-19 2005-09-02 Toshiba Corp 半導体記憶装置及び半導体記憶装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100940161B1 (ko) * 2007-12-27 2010-02-03 주식회사 동부하이텍 모스트랜지스터 및 그 제조방법

Also Published As

Publication number Publication date
CN1989597A (zh) 2007-06-27
WO2006019603A2 (en) 2006-02-23
US20060024959A1 (en) 2006-02-02
JP2008508721A (ja) 2008-03-21
WO2006019603A3 (en) 2006-07-13
KR100871006B1 (ko) 2008-11-27

Similar Documents

Publication Publication Date Title
KR100871006B1 (ko) 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화
US7473655B2 (en) Method for silicon based dielectric chemical vapor deposition
US7365029B2 (en) Method for silicon nitride chemical vapor deposition
US5733816A (en) Method for depositing a tungsten layer on silicon
KR20200069223A (ko) 티타늄 나이트라이드 상에 실리콘 나이트라이드를 포함하는 구조를 형성하는 방법 및 상기 방법을 사용하여 형성된 구조
US20060019032A1 (en) Low thermal budget silicon nitride formation for advance transistor fabrication
US20080119057A1 (en) Method of clustering sequential processing for a gate stack structure
US20070049053A1 (en) Pretreatment processes within a batch ALD reactor
US20080038936A1 (en) Method to form ultra high quality silicon-containing compound layers
US7358188B2 (en) Method of forming conductive metal silicides by reaction of metal with silicon
US7411254B2 (en) Semiconductor substrate
TWI661080B (zh) 金屬矽化物的選擇性形成
US7678298B2 (en) Tantalum carbide nitride materials by vapor deposition processes
KR100447031B1 (ko) 텅스텐 실리사이드막의 형성방법
TWI515803B (zh) 矽化鉭內的摻雜鋁
JP2006093242A (ja) 半導体装置の製造方法
KR20010007527A (ko) 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
JP3801923B2 (ja) タングステンシリサイド形成方法
KR100259166B1 (ko) 반도체 소자의 제조방법
JP7425744B2 (ja) ホウ素核形成層を利用した低温モリブデン膜堆積
KR100604672B1 (ko) 하프늄질화막을 구비한 캐패시터 및 그 제조 방법
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
KR20040016696A (ko) 반도체장치의 전극형성방법 및 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121030

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131030

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141030

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee