KR20060062034A - 반도체 제조 프로세스를 용이하게 하는 제1 원리시뮬레이션의 사용 시스템 및 방법 - Google Patents

반도체 제조 프로세스를 용이하게 하는 제1 원리시뮬레이션의 사용 시스템 및 방법 Download PDF

Info

Publication number
KR20060062034A
KR20060062034A KR1020057024760A KR20057024760A KR20060062034A KR 20060062034 A KR20060062034 A KR 20060062034A KR 1020057024760 A KR1020057024760 A KR 1020057024760A KR 20057024760 A KR20057024760 A KR 20057024760A KR 20060062034 A KR20060062034 A KR 20060062034A
Authority
KR
South Korea
Prior art keywords
simulation
semiconductor processing
processing tool
tool
process performed
Prior art date
Application number
KR1020057024760A
Other languages
English (en)
Other versions
KR101054710B1 (ko
Inventor
안드레이 에스 미트로비치
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060062034A publication Critical patent/KR20060062034A/ko
Application granted granted Critical
Publication of KR101054710B1 publication Critical patent/KR101054710B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하도록 해주는 방법, 시스템 및 컴퓨터 판독 가능한 매체에 관한 것이다. 상기 방법은 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하는 단계와, 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하는 단계를 포함한다. 그 다음, 제1 원리 시뮬레이션은 제1 원리 시뮬레이션 결과를 제공하도록 입력 데이터와 물리적 모텔을 사용하여 수행되고, 상기 제1 원리 시뮬레이션 결과는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 사용된다.

Description

반도체 제조 프로세스를 용이하게 하는 제1 원리 시뮬레이션의 사용 시스템 및 방법{SYSTEM AND METHOD FOR USING FIRST-PRINCIPLES SIMULATION TO FACILITATE A SEMICONDUCTOR MANUFACTURING PROCESS}
본 발명은 일반적으로 반도체 장치 제조에 관한 것으로, 보다 구체적으로 말하면 반도체 제조 프로세스에서 제1 원리 시뮬레이션을 사용하는 방법에 관한 것이다.
반도체 산업에의 재료 프로세싱(material processing)은 집적 회로(ICs)의 제조에 있어서 엄청난 과제를 제시한다. 일반적으로 집적 회로, 특히 메모리 장치의 속도를 증대시키기 위한 요구는, 반도체 제조업자들로 하여금 기판 표면 상의 소자들을 더 작게 만들도록 촉구했다. 또한, 제조 비용을 줄이기 위해서는, IC 구조를 생산하는데 요구되는 단계(예컨대, 에칭 단계, 증착 단계 등)의 수를 줄여서 전반적인 IC 구조 및 그 제조 방법의 복잡성을 줄일 필요가 있다. 이러한 요구는 우수 소자의 수율을 최대화하기 위하여 임계 치수(critical dimension; CD), 프로세스 속도 및 프로세스 일관성에 더욱 큰 중요도를 부여하는 형체 크기(feature size)의 감소 및 기판 사이즈의 증가(즉, 200mm 내지 300mm 이상)의 양자에 의해 더욱 악화된다.
반도체 제조에 있어서, IC의 진화 동안 진공 프로세싱, 열 프로세싱, 플라스마 프로세싱 등을 포함하여 수많은 단계들이 사용되어 왔다. 각각의 프로세싱 단계에서 프로세스의 결과에 영향을 미치는 수많은 변수들이 존재한다. 각각의 프로세싱 단계의 결과를 더 정확하게 제어하기 위해서, 각각의 프로세스 툴은 프로세싱 동안 데이터를 측정하여 프로세스 컨트롤러의 작용을 통해 프로세스 변수를 교정하기 위한 지적 기반(intelligent basis)을 제공하는 수많은 진단 시스템(전기적, 기계적 및 광학적)을 갖추고 있다. 복수 개의 진단 시스템은 번거롭고 고가가 되고 있다. 그럼에도 불구하고, 완벽한 프로세스 제어를 위한 공간 및 시간에서 충분하게 해석된 데이터는 여전히 이용가능하지 않다.
이러한 반도체 산업과 그 제조에 있어서의 과제로 인해, 반도체 제조 산업에서 컴퓨터 기반 모델링(computer-based modeling) 및 시뮬레이션을 더 많이 사용하는 것에 관심을 갖게 되었다. 컴퓨터 기반 모델링 및 시뮬레이션은 반도체 제작 툴 설계 프로세스 동안 툴 성능의 예측을 위해 점차적으로 많이 사용되고 있다. 이러한 모델링의 사용은 툴 개발 주기와 관련된 비용과 시간을 감소하도록 해 준다. 응력, 열, 자기학 등과 같은 많은 원칙에 있어서의 모델링은, 설계 문제에 정확한 해답을 주어서 신뢰받을 수 있는 성숙 단계에 도달하였다. 또한, 새로운 솔루션 알고리즘의 개발과 함께 컴퓨터의 성능이 급속하게 증대되어 왔는데, 이들 양자로 인해 시뮬레이션 결과를 얻기 위해서 요구되는 시간은 감소되었다. 실제로, 현재 툴 설계 단계에서 통상적으로 수행되는 많은 회수의 시뮬레이션은 웨이퍼 혹은 웨이퍼 카세트 프로세싱 시간에 상응하는 시간 내에서 실행될 수 있다는 것이 본 발명자에 의해 밝혀졌다. 이러한 추세는, 단지 통상적으로 툴 설계를 위해서만 사용되는 시뮬레이션 능력(simulation capability)이 툴에 의해 수행되는 여러 프로세스를 보조하기 위해 툴 자체에 직접 구현될 수 있다는 것을 제안하기에 이르렀다. 예컨대, 2001년 반도체 국제 테크놀로지 로드맵(International Technology Roadmap for Semiconductor)에서는 미래의 반도체 장치에서 극소형 형체의 제작을 가능케 해주는 기술인 온-툴(on-tool) 통합 시뮬레이션 능력의 개발을 저해하는 인자가 있다는 것으로 발표하였다.
실제로, 온-툴 시뮬레이션을 구현하여 툴 프로세스를 용이하게 하는 산업에서의 실패는 합리적인 시간에 시뮬레이션을 수행할 능력이 있는 연산 리소스(computational resource)를 필요로 한다는 데에서 주로 기인한다. 특히, 현재 반도체 제조 툴 전용 프로세서의 능력은 통상적으로 진단 및 제어 기능에 한정되고, 이에 따라 상대적으로 간단한 시뮬레이션만을 행할 수 있다. 따라서 반도체 제조 산업은 의미 있는 온-툴 시뮬레이션 능력을 달성하기 위해 강력한 전용 컴퓨터를 제공할 필요성을 인식하게 되었다. 그러나 반도체 프로세싱 툴에 대한 이러한 컴퓨터의 전용은, 상기 툴이 간단한 시뮬레이션을 사용하거나 혹은 시뮬레이션을 전혀 사용하지 않는 프로세스를 실행시킬 때, 쓸모없는 연산 리소스가 생기도록 만든다. 이러한 고가의 연산 리소스의 비효율적인 사용은 반도체 프로세싱 툴에서의 시뮬레이션 능력을 달성하는데 있어서 큰 장애가 되었다.
본 발명의 목적은 전술한 문제점 및/또는 다른 종래 기술의 문제점을 줄이거나 해결하는 것이다.
본 발명의 다른 목적은 반도체 제조 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1의 원리 시뮬레이션 능력을 상기 툴에 통합시키는 것이다.
본 발명의 또 다른 목적은 상기 툴 전용의 강력한 연산 리소스를 필요로 하지 않고 툴 시뮬레이션 능력을 제공하는 것이다.
본 발명의 또 다른 목적은 제조 설비에서 각각의 툴에 전용인 기존의 연산 리소스를 사용하여 광범위한 온-툴 시뮬레이션 능력을 제공하는 것이다.
이러한 목적 및/또는 다른 목적은 이하의 본 발명의 태양에 의해 제공될 수 있다.
본 발명의 하나의 태양에 따르면, 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법이 제공되는데, 이 방법은 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하는 단계와, 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하는 단계를 포함한다. 그 다음, 시뮬레이션 결과를 제공하기 위해 상기 입력 데이터와 상기 제1 원리 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하고, 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용한다.
본 발명의 다른 하나의 태양에 따르면, 프로세스를 수행하도록 구성된 반도체 프로세싱 툴과, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하도록 구성된 입력 장치를 포함하는 시스템이 제공된다. 제1 원리 시뮬레이션 프로세서는 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하도록, 그리고 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션 결과를 제공하기 위해 제1 원리 시뮬레이션을 수행하도록 구성되어 있다. 상기 제1 원리 시뮬레이션 결과는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 해주도록 사용된다.
본 발명의 또 다른 태양에 따르면, 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 시스템이 제공되는데, 이 시스템은 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하기 위한 수단과, 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하기 위한 수단을 포함한다. 상기 시스템은 제1 원리 시뮬레이션 결과를 제공하기 위해 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하기 위한 수단과, 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용하기 위한 수단을 더 포함한다.
본 발명의 또 다른 태양에 따르면 프로세서 실행을 위한 프로그램 명령을 담고 있는 컴퓨터 판독 가능한 매체가 제공되는데, 이 매체는 컴퓨터 시스템에 의해 실행될 때, 상기 프로세서가 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하는 단계와, 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하는 단계를 수행하도록 해준다. 또한 상기 프로세서는 제1 원리 시뮬레이션 결과를 제공하기 위해 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하는 단계와, 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용하는 단계를 수행하도록 해준다.
본 발명에 대한 보다 완전한 이해와 그와 관련된 많은 장점들은 첨부 도면과 함께 이하에 기술된 상세한 설명을 참조함으로써 더욱 명백해질 것이다.
도 1은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도.
도 2는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도.
도 3은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 제공하기 위해 사용될 수 있는 네트워크 구조(network architecture)의 블록도.
도 4는 반도체 프로세싱 툴에 가상의 센서 측정을 제공하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도이다.
도 5는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 특성화하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도.
도 6은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도.
도 7은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도.
도 8은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법과 실험 모델을 사용하기 위한 시스템의 블록도.
도 9는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법과 실험 모델을 사용하기 위한 프로세스를 나타내는 흐름도.
도 10은 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법과 폴트 검출기(fault detector)를 사용하기 위한 시스템의 블록도.
도 11은 부분 최소 제곱법(PLS) 분석을 위한 데이터 입력,
Figure 112005075718692-PCT00001
Figure 112005075718692-PCT00002
, 및 대응하는 출력
Figure 112005075718692-PCT00003
,
Figure 112005075718692-PCT00004
,
Figure 112005075718692-PCT00005
,
Figure 112005075718692-PCT00006
,
Figure 112005075718692-PCT00007
,
Figure 112005075718692-PCT00008
,
Figure 112005075718692-PCT00009
,
Figure 112005075718692-PCT00010
와 프로젝션에서의 변수 중요도(variable importance in the projection ; VIP)을 개략적으로 나타낸 도면.
도 12는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하고 폴트를 검출하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법과 폴트 검출기를 사용하기 위한 프로세스를 나타내는 흐름도.
도 13은 본 발명의 프로세스 제어 실시예가 적용될 수 있는 진공 프로세싱 시스템(vacuum processing system)의 블록도.
도 14는 본 발명의 실시예를 구현할 수 있는 컴퓨터 시스템을 도시한 블록도.
동일하거나 대응하는 구성 요소를 지칭하기 위해 동일한 도면 부호가 병기되어 있는 첨부 도면을 참조하면, 도 1에는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도가 도시되어 있다. 도 1에 도시된 바와 같이, 상기 시스템은 반도체 프로세싱 툴(102), 데이터 입력 장치(104), 제1 원리 물리적 모델(106) 및 제1 원리 시뮬레이션 프로세서(108)를 포함한다. 도 1의 시스템은 또한 점선으로 도시된 바와 같은 툴 레벨 라이브러리(110)를 포함할 수 있다.
반도체 프로세싱 툴(102)은 집적 회로 또는 반도체 웨이퍼 제조에 관한 프로세스를 수행하기 위한 툴이다. 예컨대, 상기 반도체 프로세싱 툴(102)은 재료 프로세싱 시스템, 에칭 시스템, 포토레지스트 스핀 코팅 시스템(photoresist spin coating system), 리소그래피 시스템, 유전체 코팅 시스템[즉, 스핀-온-글라스(SOG) 혹은 스핀-온-유전체(SOD) 시스템], 증착 시스템[즉, 화학 증착(CVD) 시스템 혹은 물리 증착(PVD) 시스템], 열 어닐링용 급속 열 프로세싱(RTP) 시스템, 일괄 확산로(batch diffusion furnace), 혹은 반도체 제조 프로세스를 수행하기 위한 임의의 다른 툴로서 실시될 수 있다.
데이터 입력 장치(104)는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스에 관한 데이터를 수집하고, 그 수집된 데이터를 제1 원리 시뮬레이션 프로세서 (108)에 입력하기 위한 장치이다. 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스는 특성화 프로세스(characterization process; 즉, 프로세스 디자인 혹은 개발), 클리닝 프로세스, 프로덕션 프로세스 혹은 반도체 프로세싱 툴에 의해 수행되는 임의의 다른 프로세스일 수 있다. 일 실시예에 있어서, 데이터 입력 장치(104)는 반도체 프로세싱 툴(102) 자체 및/또는 그 툴의 챔버 내에 포함된 환경에 대한 데이터를 수집하기 위한 물리적 센서로서 구현될 수 있다. 이러한 데이터는 프로세스 챔버 내의 여러 위치에서의 가스 속도 및 압력 등의 유체 기계 데이터, 프로세스 챔버의 전기 시스템 내의 여러 위치에서의 전압, 전류 및 임피던스 등의 전기 데이터, 프로세스 챔버 내의 여러 위치에서의 종 농도 및 반응 화학 등의 화학 데이터, 프로세스 챔버 내의 여러 위치에서의 가스 온도, 표면 온도 및 표면 열 플럭스 등의 열 데이터, 플라스마 밀도[예컨대, 랭뮤어(Langmuir) 탐침기로부터 획득], 이온 에너지(이온 에너지 스펙트럼 분석기로부터 획득) 등의 플라스마 프로세싱 데이터(플라스마가 사용될 때), 그리고 프로세스 챔버 내의 여러 위치에서의 압력, 편향, 응력 및 변형 등의 기계적 데이터를 포함할 수 있다.
툴 및 툴 환경 데이터에 추가하여, 상기 데이터 입력 장치(104)는 프로세스 자체에 관한 데이터, 또는 상기 툴(102)이 프로세스 온(on)을 행하는 반도체 웨이퍼에서 얻은 프로세스 결과를 수집할 수 있다. 일 실시예에 따르면, 상기 데이터 입력 장치(104)는 반도체 프로세싱 툴(102)에 결합된 계량 툴(metrology tool)로서 사용된다. 상기 계량 툴은 에칭 속도, 증착 속도, 에칭 선택도(제2 재료의 에칭 속도에 대한 제1 재료의 에칭 속도의 비율), 에칭 임계 치수(예컨대, 형체의 길이 혹은 폭), 에칭 형체 비등방성(예컨대, 에칭 형체의 측벽 프로파일), 필름 특성(예컨대, 필름 응력, 다공도 등), 마스크(예컨대, 포토레지스트) 필름 두께, 마스크(예컨대, 포토레지스트) 패턴 임계 치수, 혹은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스의 다른 임의의 변수와 같은 프로세스 성능 변수를 측정하도록 구성될 수 있다.
상기 데이터 입력 장치는 도 1에 도시된 바와 같이, 프로세싱 툴(102)로부터 데이터를 자동으로 수신하여 이 데이터를 제1 원리 시뮬레이션 프로세서(108)로 전송하기 위해 프로세싱 툴(102)과 제1 원리 시뮬레이션 프로세서(108)에 직접 결합될 수 있다. 그 대안으로, 상기 데이터 입력 장치(104)는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스에 관한 데이터를 시뮬레이션 프로세서(108)에 간접으로 제공하기 위해 사용되는 사용자 입력 장치로서 구현될 수 있다. 예컨대, 데이터 입력 장치(104)는 제1 원리 시뮬레이션 프로세서(108)로 데이터를 입력하도록 시뮬레이션 작업자가 조작하는 키보드일 수 있다. 또 다른 대안으로, 상기 데이터 입력 장치는 반도체 프로세싱 툴(102)에 의해 과거에 실행한 프로세스에 관한 데이터를 저장하기 위한 데이터베이스일 수 있다. 이 실시예에 따르면, 데이터베이스는 물리적 센서 혹은 반도체 프로세싱 툴(102)에 결합된 계량 툴의 사용에 의해 및/또는 수동 입력에 의해 자동적으로 채워질 수 있다. 상기 데이터베이스는 프로세서에 데이터를 입력하기 위해 제1 원리 시뮬레이션 프로세서(108)에 의해 자동적으로 엑세스될 수 있다.
제1 원리 물리적 모델(106)은 제1 원리 시뮬레이션을 실행하고 또 반도체 프 로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는데 필요한 기본적 방정식(equation)일뿐만 아니라 툴의 물리적 속성 및 툴 환경의 모델이다. 따라서 상기 제1 원리 물리적 모델(106)은 반도체 프로세싱 툴(102)에서 수행되는 프로세스뿐만 아니라 분석된 상기 툴의 타입에 따라 어느 정도 좌우된다. 예컨대, 물리적 모델(106)은 화학 증착(CVD) 챔버와 확산로를 위한 모델과는 상이한, 상기 툴(102)의 물리적 기하학적 형상에 대해 공간적으로 해석된 모델(spatially resolved model)을 포함할 수 있다. 이와 유사하게, 유동장(flow field)을 연산하는데 필요한 제1 원리 방정식은 온도장(temperature field)을 연산하는데 필요한 것과는 완전히 상이하다. 물리적 모델(106)은 유동장, 전자기장, 온도장, 화학, 표면 화학(즉, 에칭 표면 화학 혹은 증착 표면 화학)을 연산하기 위해 펜실베니아주 15317 캐논스버그 테크놀로지 드라이브 사우스포인트 275 소재의 ANSYS Inc. 제품인 ANSYS, 뉴헴프셔주 03766 레바논 센테라 파크 카벤디시 코트 10 소재의 Fluent Inc. 제품인 FLUENT, 혹은 알라바마주 35805 헌스빌 웨인 드라이브 215 소재의 CFD Research Corp. 제품인 CFD-ACE+ 등과 같은 상업적으로 시판되고 있는 소프트웨어에서 구현되는 모델일 수 있다. 그러나 특정한 목적 혹은 전술한 세부사항 및 다른 세부사항을 해결하기 위해 제1 원리로부터 개발된 주문형 모델을 사용할 수도 있다.
제1 원리 시뮬레이션 프로세서(108)는 제1 원리 시뮬레이션을 실행하기 위해 데이터 입력 장치(104)에서 나온 데이터 입력을 제1 원리 물리적 모델(108)로 인가하는 프로세싱 장치이다. 구체적으로 말하면, 상기 제1 원리 시뮬레이션 프로세서(108)는 제1 원리 물리적 모델(106)을 위한 초기 조건 및/또는 경계 조건을 설정하 기 위해 데이터입력 장치(104)에 의해 제공된 다음 시뮬레이션 모델에 의해 실행될 데이터를 사용할 수 있다. 본 발명에 따른 제1 원리 시뮬레이션은 맥스웰 방정식에서 유도된 전자기장의 시뮬레이션; 연속성, 네비어-스토크스(Navier-Stokes) 방정식 및 열역학 제1 법칙에서 유도된 예컨대, 질량, 운동량 및 에너지 전달을 위한 연속체 시뮬레이션; 뿐만 아니라 희박 가스에 대한 몬데 카를로(Monte Carlo) 시뮬레이션(1994년, Clarendon 출판, Bird, G.A. 저서의 "분자 가스 동역학 및 가스 유동의 직접 시뮬레이션" 참조) 등과 같은 볼츠만 방정식에서 유도된 원자 시뮬레이션을 포함하지만 이에 한정되는 것은 아니다. 제1 원리 시뮬레이션 프로세서(108)는 반도체 프로세싱 툴(102)과 물리적으로 통합된 프로세서나 워크스테이션으로서 혹은 도 14의 컴퓨터 시스템(1401)과 같은 범용 컴퓨터 시스템으로서 실시될 수 있다. 제1 원리 시뮬레이션 프로세서(108)의 출력은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 용이하게 하기 위해 사용되는 시뮬레이션 결과이다. 예컨대, 이 시뮬레이션 결과는 후술하는 바와 같이 툴 프로세스를 용이하게 하는 가상의 센서 출력을 제공하기 위해서 뿐만 아니라 프로세스 개발, 프로세스 제어 및 디폴트 검출을 용이하게 하기 위해 사용될 수 있다.
도 1에 점선으로 도시된 바와 같이, 상기 시스템은 시뮬레이션 결과를 저장을 위한 툴 레벨 라이브러리(110)를 포함할 수도 있다. 상기 라이브러리는 실질적으로 미래의 시뮬레이션 결과를 제공하기 위해 사용될 수 있는 과거 시뮬레이션의 컴파일(compilation)이다. 상기 툴 레벨 라이브러리(110)는 별도의 저장 장치나 또는 제1 원리 시뮬레이션 프로세서(106)와 합체된 하드 디스크 등의 컴퓨터 저장 장치에 저장될 수 있다.
도 1에 도시된 시스템은 단지 예시적으로 도시된 것이며, 본 발명을 실시하기 위해 사용된 특정의 하드웨어 및 소프트웨어의 다른 변형은 당업자들에 의해 용이하게 이루어질 수 있는 것으로 이해되어야 한다. 예컨대, 제1 원리 물리적 모델(106), 제1 원리 시뮬레이션 프로세서(108) 및 툴 레벨 라이브러리(110)의 기능은 단일의 장치로 조합될 수 있다. 이와 유사하게, 데이터 입력 장치(104)의 기능은 반도체 프로세싱 툴(102) 및/또는 제1 원리 시뮬레이션 프로세서(108)의 기능과 조합될 수 있다. 이러한 변형뿐만 아니라 기타 변형례를 구현하기 위해, 단일 컴퓨터[예컨대, 도 14의 컴퓨터 시스템(1401)]가 도 1에 도시된 장치의 2개 혹은 그 이상의 특수한 목적의 기능을 실행하도록 프로그램될 수 있다. 다른 한편으로, 2개 혹은 그 이상의 프로그램된 컴퓨터가 도 1에 도시된 장치들 중 하나와 대체될 수 있다. 상기 시스템의 확실성 및 성능을 희망에 따라 증대시키기 위해서, 중복 및 반복 등과 같은 분산 프로세싱(distributed processing)의 원리 및 장점이 구현될 수도 있다.
도 2에는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도가 도시되어 있다. 도 2에 도시된 프로세스는 예컨대, 도 1의 제1 원리 시뮬레이션 프로세서(108)에서 실행될 수 있다. 도 2에 도시된 바와 같이, 상기 프로세스는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스에 관한 데이터를 입력하는 단계 201에서 시작한다. 전술한 바와 같이, 입력 데이터는 툴/ 툴 환경의 물리적 속성과 관련된 데이터 및/또는 툴에 의해 반도체 웨이퍼에 실행된 프로세스 혹은 이러한 프로세스의 결과와 관련된 데이터일 수 있다. 또한, 전술한 바와 같이, 상기 입력 데이터는 제1 원리 시뮬레이션 프로세서(108)에 결합된 물리적 센서 혹은 계량 툴에서 직접 나온 입력이나 또는 수동 입력 장치 혹은 데이터베이스로부터 간접적으로 나온 입력일 수 있다. 데이터가 수동 입력 장치 혹은 데이터베스에 의해 간접적으로 입력되는 경우, 이 데이터는 이전의 실행 프로세스로부터의 센서 데이터와 같은 이전의 실행 프로세스로부터 기록되었던 데이터일 수 있다. 그 대안으로, 상기 데이터는 프로세스 중에 수집된 데이터와 관련이 있을 수 있거나 그렇지 않은 특정의 시뮬레이션을 위한 "최상의 공지된 입력 파라미터"로서 시뮬레이션 작업자에 의해 설정될 수 있다. 상기 프로세싱 툴에 의해 입력된 입력 데이터의 타입은 일반적으로 원하는 시뮬레이션 결과에 따라 좌우된다.
상기 입력 데이터를 입력하는 것에 추가하여, 제1 원리 시뮬레이션 프로세선(104)은 또한 단계 203에 도시된 바와 같이 제1 원리 물리적 모델(106)을 입력한다. 단계 203은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스의 원하는 속성의 제1 원리 시뮬레이션을 실행하는데 필요한 소프트웨어에 코드화된 제1 원리 방정식뿐만 아니라 상기 모델에 의해 모델링된 툴의 물리적 속성을 입력하는 것을 포함한다. 상기 제1 원리 물리적 모델(106)은 외부 메모리로부터 혹은 상기 프로세스에 합체된 내부 메모리 장치로부터 상기 프로세서에 입력될 수 있다. 또한, 상기 단계 203은 단계 201에 후속하는 것으로 도 2에 도시되어 있지만, 제1 원리 시뮬레이션 프로세스(104)는 상기 양 단계들을 동시에 실행하거나 도 2에 도시된 순서의 역순으로 실행될 수도 있다는 것을 이해하여야 한다.
단계 205에서, 제1 원리 시뮬레이션 프로세서(108)는 제1 원리 시뮬레이션을 실행하기 위해 단계 201의 입력 데이터와 단계 203의 제1 원리 물리적 모델을 사용하여 시뮬레이션 결과를 제공한다. 단계 205는 반도체 프로세싱 툴에 의해 수행되는 프로세스와 동시적으로 혹은 비동시적으로 실행될 수 있다. 예컨대, 짧은 계산 시간에서 실행될 수 있는 시뮬레이션은 툴 프로세스와 이 프로세스를 제거하기 위해 사용된 결과와 동시에 실행될 수 있다. 보다 연산적으로 집약적인 시뮬레이션은 툴 프로세스와 비동시적으로 실행될 수 있고, 시뮬레이션 결과는 차후의 검색을 위해 라이브러리에 저장될 수 있다. 일 실시예에 따르면, 단계 205는 이 단계 205에 제공된 물리적 모델을 위한 초기 조건 및/또는 경계 조건을 설정하기 위해 단계 201의 입력 데이터를 사용하는 단계를 포함한다.
상기 시뮬레이션이 일단 실행되면, 시뮬레이션 결과는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 용이하게 하기 위해 사용된다. 본 명세서에서 사용된 바와 같이, "반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 한다" 라는 표현은 예컨대 상기 프로세스에서 폴트를 검출하기 위하거나, 상기 프로세스를 제어하기 위하거나, 제조 실행을 위한 프로세스를 특성화하기 위하거나, 상기 프로세스에 관한 가상 센서의 판독을 제공하기 위해서 시뮬레이션 결과를 사용하는 것 또는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 용이하게 하는 것과 관련하여 시뮬레이션 결과의 여타의 다른 사용을 하는 것을 포함한다.
도 3에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프 로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 기법을 제공하기 위해 사용될 수 있는 네트워크 구조의 블록도가 도시되어 있다. 도 3에 도시된 바와 같이, 상기 네트워크 구조는 인터넷(314)을 경유하여 원격 리소스에 연결된 장치 제조 팹(fab)을 포함한다. 이 장치 제조 팹은 시뮬레이션 모듈(302)에 각각 연결된 복수 개의 반도체 프로세싱 툴(102)을 포함한다. 도 1을 참조하여 설명한 바와 같이, 각각의 반도체 프로세싱 툴(102)은 집적 회로와 같은 반도체 장치 제조와 관련된 프로세스를 행하기 위한 툴이다. 각각의 시뮬레이션 모듈(302)은 컴퓨터, 워크스테이션, 혹은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 용이하게 하기 위한 제1 원리 시뮬레이션 기법을 실행할 수 있는 다른 프로세싱 장치이다. 따라서 시뮬레이션 모듈(302) 각각은 도 1을 참조하여 설명한 제1 원리 물리적 모델(106)과 제1 원리 시뮬레이션 프로세서(108)뿐만 아니라 제1 원리 시뮬레이션을 실행하는 것을 도울 수 있는 임의의 다른 하드웨어 및/또는 소프트웨어를 포함한다. 또한, 시뮬레이션 모듈(302)은 공지의 네트워크 통신 프로토콜을 이용하는 팹-레벨 고급 공정 제어(advanced process control; APC) 컨트롤러와 연통하도록 구성되어 있다. 시뮬레이션 모듈(302) 각각은 도 14의 컴퓨터 시스템(1401) 등의 범용 컴퓨터로서 구현될 수 있다.
도 3에는 도시가 생략되어 있지만, 각각의 시뮬레이션 모듈(302)은 상기 툴(102)에 의해 수행되는 프로세스에 관한 데이터를 입력하기 위한 데이터 입력 장치에 결합된다. 도 3의 실시예에 따르면, 상기 시뮬레이션 모듈(302)은 상기 툴(102)에 각각 결합되어 있기 때문에, 상기 데이터 입력 장치는 물리적 센서 및/또 는 각각의 툴(102) 상에 물리적으로 장착된 계량 툴로서 구현된다. 그러나 전술한 바와 같이, 상기 데이터 입력 장치는 시뮬레이션 모듈 작업자 혹은 데이터베이스에 의해 사용된 수동 입력 장치로서 실시될 수 있다. 추가적으로, 각각의 시뮬레이션 모듈(302)은 정보를 저장하고 또 상기 라이브러리(306)와 같은 툴-레벨 라이브러리로부터의 정보를 검색하기 위해 구성될 수 있다. 전술한 바와 같이, 상기 툴 레벨 라이브러리는 실질적으로 미래에 시뮬레이션을 위해 유용할 수 있는 과거 시뮬레이션 결과의 컴파일(compilation)이다.
본 발명의 일 실시예에 따르면, 각각의 시뮬레이션 모듈(302)은 네트워크 커넥션을 경유하여 주요 팹-레벨 APC 컨트롤러(304)에 접속되어 있다. 도 3에 도시된 바와 같이, 상기 팹-레벨 APC 컨트롤러(304)는 또한 인터넷(314) 및 통신 서버(316)를 매개로 독립형 시뮬레이션 모듈(312)뿐만 아니라 독립형 시뮬레이션 모듈(308) 및 팹-레벨 라이브러리(310)에 접속될 수 있다.
독립형 시뮬레이션 모듈(308, 312)은 이하에 후술하는 바와 같이 연산 집약적 제1 원리 시뮬레이션을 행하는데 있어서 시뮬레이션 모듈(302)을 보조하기 위해 사용될 수 있는 컴퓨터 리소스이다. 팹-레벨 라이브러리(310)는 네트워크 시스템의 어떤 시뮬레이션 모듈로부터 획득한 시뮬레이션 결과를 저장하기 위한 데이터베이스이다. 상기 팹-레벨 APC 컨트롤러(304)는 임의의 적절한 워크스테이션, 서버, 혹은 시뮬레이션 모듈(302, 308, 312)과 연통하기 위한 그리고 정보를 저장하고 팹-레벨 라이브러리(310)로부터의 정보를 검색하기 위한 다른 장치이다. 상기 팹-레벨 APC 컨트롤러(304)는 또한 시뮬레이션 모듈(302)의 시뮬레이션 결과에 기초하여 상기 툴(102)에 의해 수행되는 프로세스를 또한 용이하게 해준다. 예컨대, APC 컨트롤러는 시뮬레이션 모듈로부터 시뮬레이션 결과를 수용하도록 그리고 임의의 상기 툴(102)의 프로세스 조절 및/또는 교정을 위한 제어법을 실시하기 위해 시뮬레이션 결과를 사용하도록 구성될 수 있다. 상기 팹-레벨 APC 컨트롤러(304)는 시뮬레이션 모듈(302, 308, 312) 및 임의의 적절한 프로토콜을 사용하는 팹-레벨 라이브러리(310)와 연통하며, 예컨대 도 14의 컴퓨터 시스템(1401)을 사용하여 구현될 수 있다.
본 발명의 발명자는 도 3의 네트워크 구조가 합리적인 솔루션 속도로 광범위의 제1 원리 시뮬레이션 결과를 허용하여, 그에 따라 상기 툴에 의해 수행되는 프로세스를 용이하게 해줄 수 있는 의미 있는 온-툴 시뮬레이션 능력을 가져오게 하는 연산 리소스 및 저장 리소스의 공유를 제공한다는 것을 발견하였다. 구체적으로 말하면, 간단한 시뮬레이션은 툴 전용의 시뮬레이션 모듈에 의해 실행될 수 있지만, 더 큰 컴퓨터 리소스를 필요로 하는 복잡한 시뮬레이션은 온-툴 혹은 독립형일 수 있는 네트워크 내의 복합 시뮬레이션 모듈에 코드 병렬화(code parallelization) 기술을 사용하여 실행될 수 있다. 만약 시뮬레이션 모듈에 에너지원이 존재하는 경우, 현재 예방적인 유지 보수 하에 있는 설비의 온-툴 시뮬레이션 모듈도 공유 컴퓨터 리소스로서 사용될 수 있다. 이와 유사하게, 차후의 검색(lookup)을 위해 사용된 시뮬레이션 결과는 팹 네트워크 내 어디에나 위치하는 라이브러리(예컨대, 저장 장치)에 저장될 수 있고, 또 진단 혹은 제어 데이터의 검색이 있을 때 모든 툴에 의해 엑세스될 수 있다.
또한 본 발명자는 도 3의 네트워크 구조가 하나의 조건 세트에 대해 하나의 프로세싱 툴(102)에서 수행되는 모델 결과를, 동일하거나 유사한 조건 하에서 나중에 실행하는 다른 유사한 혹은 동일한 툴에 분배함으로써, 중복되는 시뮬레이션을 제거하게 되는 능력을 제공한다는 사실을 발견하였다. 단지 유일한 프로세싱 조건에 대해서만 온-툴 및 독립형 모듈에서 시뮬레이션을 실행하고, 이미 알고 있는 시뮬레이션 솔루션을 가지고 있는 유사한 툴로부터의 결과를 재사용함으로써, 라이브러리의 신속한 개발을 허용해 준다. 또한, 제1 원리 시뮬레이션을 위한 초기 조건으로서 이미 알고 있는 솔루션을 재사용함으로써, 컴퓨터 요구 조건을 줄이고 시뮬레이트된 솔루션을 온-라인 제어와 일치하는 시간 프레임으로 제공하는 것을 용이하게 해준다. 이와 유사하게, 도 3의 네트워크 구조는 물리적 모델 및 모델 파라미터에 가해진 변경 및 개선(refinement)을 네트워크 내의 하나의 시뮬레이션 모듈로부터 다른 모듈로 전파하는 능력도 제공한다. 예컨대, 프로세스 실행 및 모델의 병렬 처리 동안 몇몇 입력 파라미터가 변경될 필요가 있다고 결정될 경우, 이러한 변경은 네트워크를 경유하여 다른 모든 시뮬레이션 모듈과 툴로 전파될 수 있다.
또한, 도 3의 네트워크 구조는 또한 시뮬레이션 작업을 실행하고 그 결과를 장치 제조업체에 다시 전달하는 것을 보조할 수 있는 시뮬레이션 모듈을 포함하는 원격 컴퓨터 리소스에 선택적인 연결을 할 수 있게 해 준다. 원격 리소스로의 연결은 가상 사설망(Virtual Private Network)과 같은 보완 접속에 의해 이루어질 수 있다. 이러한 보완 접속은 프로세싱 툴에서 제1 원리 시뮬레이션을 지원하기 위한 연산 리소스를 제공하는 제3자와 이루어질 수도 있다. 이와 유사하게, 원격 통신 서버는 다양한 고객이 사용할 수 있는 가장 최신의 소프트웨어, 모델, 입력 파라미터 및 시뮬레이션 결과를 위한 "정보센터(clearinghouse)"로서 작용하여, 정확한 결과 라이브러리가 만들어지는 속도를 더욱 증가시킬 수 있다. 이러한 최신 모델은 고객 사이트에서 원격 리소스로 업로드되고 분석될 수 있고, 개선이 대부분의 고객에 적용되는 것이라고 결정되면 이러한 개선은 통신 서버 및 인터넷을 매개로 다른 고객에게 이용 가능하게 된다.
따라서 본 발명자는 툴 전용의 비싼 컴퓨터를 필요로 하지 않고 상기 툴에 의해 수행되는 프로세스를 용이하게 할 수 있는 의미 있는 온-툴 시뮬레이션의 능력을 발견하였다. 이러한 발견을 기초로 하여, 본 발명자는 가상 센서의 판독을 제공하고, 툴에 의해 수행되는 프로세스를 개발하는데 사용하기 위한 특성화 데이터(characterization data)를 제공하고, 프로세스 디폴트 검출, 프로세스 제어 능력을 제공하기 위한 신규의 온-툴 시뮬레이션 시스템을 추가로 개발하였다. 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위한 본 발명의 온-툴 시뮬레이션을 사용하는 것은, 단일의 툴과 시뮬레이션 모듈 상에서 구현될 수도 있고, 도 3에서 설명한 것과 같이 연산 리소스 및 저장 리소스의 상호 연결망에서 구현될 수도 있다.
구체적으로, 온-툴 시뮬레이션 결과는 물리적 센서로부터의 측정 데이터 세트를 보강하기 위해서 사용될 수 있다. 현세대의 반도체 프로세싱 툴의 결점들 중 한 가지는 특히 프로덕션 툴에서 현재 실행되는 프로세스를 특성화하기 위해 사용되는 센서의 수가 상대적으로 적다는 것이다. 요구되는 센서의 개수가 많아지면 툴에 더 많은 센서를 설치하는 것은 매우 값비싼 제안이 되어 버리며, 대부분의 경우 툴에는 추가 센서의 설치 및 개량을 위한 여유 공간이 존재하지 않게 된다. 그러나, 프로덕션 툴에서도, 센서가 설치될 수 없는 위치에서 "측정"을 필요로 하는 상황이 존재한다. 본 발명의 온-툴 제1 원리 시뮬레이션 능력은, 초기 및/또는 경계 조건으로서 다른 실제 측정값을 이용하여 측정값의 추정을 하기 위한 확실한 모델이 존재한다면, 어떤 추가의 하드웨어 없이도 요구되는 "측정값"을 제공한다는 것이다. 본 명세서에서, "가상 센서(virtual sensor)"는 온-툴 시뮬레이션으로부터의 추정에 의해 실제적으로 측정값이 제공되는 "센서"를 지칭하기 위해 사용된다.
도 4에는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 할 수 있는 가상 센서의 판독을 제공하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도가 도시되어 있다. 도 4에 도시된 프로세스는 예컨대, 도 1의 제1 원리 시뮬레이션 프로세서(108)에서, 또는 도 3의 네트워크 구조를 이용하여 실행될 수 있다. 도 4에 도시된 바와 같이, 상기 프로세스는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스와 관련되는 가상 센서의 판독을 획득하기 위해 데이터를 입력하는 단계 401에서 시작된다. 단계 401에서의 데이터 입력은, 그 입력 데이터가 제1 원리 시뮬레이션으로 하여금 가상의 센서 시뮬레이션 결과를 제공할 수 있게 해주는 한, 도 2의 단계 201과 관련하여 설명된 임의의 데이터 타입일 수 있다. 따라서 입력 데이터는 툴/툴 환경의 물리적 속성, 반도체 웨이퍼에서 상기 툴에 의해 수행되는 프로세스, 혹은 이러 한 프로세스의 결과에 관련된 데이터일 수 있다. 또한, 단계 401의 입력 데이터는 제1 원리 시뮬레이션 프로세서(108)에 결합된 물리적 센서, 또는 계량 툴로부터 직접 입력되거나 또는 수동 입력 장치 혹은 데이터베이스로부터 간접적으로 입력될 수 있다.
가상 센서의 판독을 획득하기 위해 입력 데이터로서 계량 데이터 사용의 일례에 따르면, 에칭 마스크 패턴과 밑에 있는 필름(underlying film)의 두께와 관련된 계량 데이터는 제1 원리 에칭 프로세스 모델과 이에 후속하여 수행되는 에칭 프로세스로의 입력이 될 수 있다. 에칭 프로세스를 수행하기 이전에, 패턴 임계 치수를 포함한 마스크 패턴의 측정치와, 주어진 기판 로트(lot)에 대해 주어진 기판 상의 하나 이상의 위치(예컨대, 중심과 가장자리)에서의 마스크 필름 두께가 에칭 프로세스 모델에 입력으로 제공될 수 있다. 또한, 밑에 있는 필름 두께(즉, 에칭될 필름의 필름 두께)의 측정치는 에칭 프로세스 모델로의 입력이 될 수도 있다. 특정 프로세스 레시피(recipe)를 위한 제1 원리 에칭 프로세스 모델과, 전술한 계량 입력 데이터의 실행에 후속하여, 예컨대 중심과 가장자리에서의 에칭 프로세스를 완료하기 위한 시간이 출력으로서 계산될 수 있고, 이러한 출력은 과도한 에칭 기간과, 예컨대 중심에서 가장자리까지의 특징적 임계 치수를 보존하기 위해 필요한 임의의 프로세스 조절을 결정하기 위해 사용될 수 있다. 그 다음, 이러한 결과는 현재 혹은 향후의 기판 로트에 대한 프로세스 레시피를 조절하기 위해 사용될 수 있다.
데이터가 수동 입력 장치 혹은 데이터베스에 의해 간접적으로 입력되는 경 우, 이 데이터는 이전의 실행 프로세스로부터의 센서 데이터와 같은 이전의 실행 프로세스로부터 기록되었던 데이터일 수 있다. 그 대안으로, 데이터는 시뮬레이션 작업자에 의해 특정의 시뮬레이션을 위한 "최상의 공지된 입력 파라미터"로서 설정될 수 있는데, 상기 특정의 시뮬레이션은 프로세스 중에 수집된 데이터와 관련이 있을 수 있거나 그렇지 않을 수 있다. 상기 프로세싱 툴에 의해 입력된 입력 데이터의 타입은 일반적으로 얻어질 원하는 가상 센서 측정치에 따라 좌우된다.
입력 데이터를 입력하는데 추가하여, 제1 원리 시뮬레이션 프로세서(108)는 또한 단계 403에 의해 도시된 바와 같이 물리적 센서를 에뮬레이팅하기 위해 제1 원리 물리적 모델을 입력한다. 상기 단계 403은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스와 관련되는 물리적 센서 판독을 대체할 수 있는 가상 센서의 판독을 얻기 위해 제1 원리 시뮬레이션을 수행하는데 필요한 제1 원리 기본 방정식뿐만 아니라 상기 모델에 의해 모델링된 툴의 물리적 속성을 입력하는 단계를 포함한다. 단계 403의 제1 원리 물리적 모델은 외부 메모리 혹은 상기 프로세서에 합체된 내부 메모리 장치로부터 프로세스에 입력될 수 있다. 또한, 도 4에는 단계 403이 단계 401에 후속하는 것으로 도시되어 있지만, 제1 원리 시뮬레이션 프로세서(108)는 이들 단계들을 동시에 수행하거나 혹은 도 4에 도시된 순서의 역순으로 수행할 수 있는 것으로 이해되어야 한다.
단계 405에서, 도 1의 프로세서(108)와 같은 제1 원리 시뮬레이션 프로세서는 제1 원리 시뮬레이션을 실행하고 가상의 센서 측정치를 제공하기 위해 단계 401의 입력 데이터와 단계 403의 제1 원리 물리적 모델을 사용한다. 단계 405는 반도 체 프로세스 툴에 의해 수행되는 프로세스와 동시에 혹은 시차를 두고 수행될 수 있다. 웨이퍼 프로세스와 동시에 실행되지 않은 시뮬레이션은 동일하거나 유사한 프로세서 조건으로 실행된 이전의 프로세스로부터 저장된 초기 및 경계 조건을 사용한다. 도 2를 참조하여 전술한 바와 같이, 이것은 시뮬레이션이 웨이퍼 프로세스보다도 더 느리게 실행되는 경우에 적합한데, 여기서 시간은 웨이퍼 카세트들 사이에서 소요되고, 심지어는 예컨대, 시뮬레이션 모듈이 요구된 측정치를 풀 수 있도록 하는 예방적인 유지보수를 위한 툴 휴지 동안에도 소요될 수 있다. 이러한 "측정치"들은, 마치 그 측정치가 웨이퍼 프로세서와 동시에 풀린 것과 같이 그리고 상기 프로세스가 시뮬레이션이 실행되고 있을 때와 동일한 프로세스 조건 하에서 실행되는 것과 같이 차후의 웨이퍼 프로세스 동안에 디스플레이될 수 있다.
제1 원리 시뮬레이션이 반도체 툴에 의해 수행되는 프로세스와 동시에 실행되는 경우, 단계 401에서의 데이터 입력은, 상기 툴에 의해 실행된 프로세스 동안 소정의 파라미터를 검출하기 위해 반도체 프로세싱 툴에 장착된 물리적 센서로부터 나온 데이터일 수 있다. 이러한 실시예에서, 제1 원리 시뮬레이션 모델의 경계 조건을 반복적으로 갱신하기 위해 물리적 센서 측정치를 사용함으로써, 정상 상태의 시뮬레이션은 반복적으로 상기 프로세스와 동시에 실행된다. 생성된 가상의 측정치 데이터는 툴 작업자가 모니터링하기에 유용하며, 이 데이터는 물리적 센서에 의해 생긴 측정치와 전혀 다르지 않다. 그러나 상기 시뮬레이션은 고속으로 실행할 수 있는 것이 바람직하므로, 가상의 측정치는 알맞은 속도(예컨대, "샘플링 속도")로 갱신될 수 있다. 또한 제1 원리 시뮬레이션은 물리적 센서 입력 데이터를 사용 하지 않고 동시에 실행될 수 있다. 이러한 실시예에서, 시뮬레이션을 위한 초기 및 경계 조건은 툴 프로세스 이전의 툴 초기 설정 및 시뮬레이션 실행 이전의 물리적 센서 판독에 기초하여 설정되며, 그 다음 완전히 시간 종속적인(full time-dependent) 시뮬레이션이 상기 툴 프로세스 동안에, 그러나 그 프로세스와는 독립적으로 실행된다. 상기 얻어진 가상의 측정치는 다른 실제 측정한 툴 파라미터들과 마찬가지로 작업자에게 디스플레이되고, 작업자에 의해 분석될 수 있다. 상기 시뮬레이션이 웨이퍼 프로세스보다 더 빨리 실행되는 경우, 웨이퍼 프로세스 동안 생긴 대응하는 실제 측정치보다 먼저 시뮬레이션 결과를 알게 된다. 시간보다 측정치를 먼저 알게 됨으로써, 후술하는 바와 같이 상기 측정치를 기초로 하여 다양한 피드-포워드(feed-forward) 제어 기능의 구현이 가능해진다.
도 4의 프로세스의 또 다른 실시예에 있어서, 제1 원리 시뮬레이션은 가상의 센서 측정치를 대응하는 물리적 센서 측정치와 비교함으로써 셀프 교정 모드에서 수행될 수 있다. 예컨대, 소정의 프로세스 레시피/툴 조건에 따른 제1의 실행 동안, 툴 작업자는 상기 모델을 위해 "그 당시의 최상 기지의 입력 파리미터"를 사용한다. 각각의 시뮬레이션 실행 동안과 그리고 그 후, 상기 시뮬레이션 모듈(들)은 물리적 센서로부터 실제 측정이 행해지는 위치에서 실제 측정치와 예상된 "측정치"를 비교할 수 있다. 만약 현저한 차이가 검출될 경우, 예측 및 실제 측정 데이터의 더 양호한 일치가 얻어질 때까지 입력 데이터 및/또는 제1 원리 물리적 모델 자체를 변경하기 위해 최적화 및 통계적 방법이 사용될 수 있다. 상기 시뮬레이션에 따라, 전술한 추가적인 세분화 시뮬레이션 실행은 다음의 웨이퍼/웨이퍼 카세트와 함께 동시에 일어나거나 상기 툴이 오프-라인일 때 일어날 수 있다. 일단 세분화된 입력 파라미터를 알면, 이들은 차후에 사용하기 위해 라이브러리에 저장될 수 있게 되므로, 동일한 프로세스 조건에 대해서, 그에 후속하는 입력 파라미터와 모델 세분화가 필요 없게 된다. 또한, 상기 모델 및 입력 데이터의 세분화는 도 3의 네트워크 구성을 통해 다른 툴에 배포될 수 있어서, 전술한 다른 툴에서 셀프-교정 실행의 필요성을 없애준다.
상기 시뮬레이션이 일단 가상의 센서 측정치를 제공하기 위해 실행되는 경우, 상기 가상의 센서 측정치는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 용이하게 하기 위해 사용된다. 예컨대, 상기 가상의 센서 측정치는 실제 센서 측정치와의 비교, 인-프로세스 레시피 변경, 디폴트 검출 및 작업자 경고, 프로세스 조건 데이터베이스 생성, 모델 및 입력 데이터 세분화 등과 같은 다양한 목적을 위해 툴 제어 시스템의 입력으로서 사용될 수 있다. 이들은 물리적 센서에 의해 얻어진 측정치를 기초로 한 툴 제어 시스템에 의해 수행되는 전형적인 실행이다. 가상의 센서 측정치는 후술하는 바와 같이 소정의 프로세스를 특성화하거나 제어하기 위해 사용될 수 있다. 또한, 가상의 센서 측정치는 차후에 사용하기 위해 컴퓨터 저장 매체의 라이브러리에 저장될 수 있으므로, 모델 혹은 입력 조건의 변화가 존재하지 않을 경우(예컨대, 세분화 동안) 동일한 입력 조건으로 시뮬레이션 실행을 반복할 필요성을 없애준다.
가상 센서의 판독을 제공하는 것에 추가하여, 본 발명의 온-툴 제1 원리 시뮬레이션 능력은 반도체 프로세스 개발을 용이하게 해준다. 보다 구체적으로, 현 재의 실험 설계 프로세스 개발 접근법의 사용에 의한 툴 상의 프로세스의 특성화는, 실행 파라미터의 각각의 변형을 위하여 상이한 프로세스 실행을 필요로 하는데, 이는 시간 소모적이고 비싼 특성화 프로세스를 초래한다. 본 발명의 온-툴 제1 원리 시뮬레이션 능력은, 제1 원리 시뮬레이션에 의해 잘 모델링되어 있는 전술한 프로세스 변수의 변화를 포함하여 실제 프로세스의 실행 없이 파라미터 변화와 툴 자체에서의 상황 분석(what-if analysis)을 하게 해 준다. 이것은 툴 상의 프로세스를 특성화하기 위해서 요구되는 실험의 수를 크게 감소시킨다.
도 5에는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 특성화하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 도시한 흐름도이다. 도 5에 도시된 프로세스는 도 1의 제1 원리 시뮬레이션 프로세서(108)에서 실행되거나 도 3의 네트워크 구조를 사용하여 실행될 수 있다. 도 5에 도시된 바와 같이, 상기 프로세스는 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스에 관한 특성화 정보를 얻기 위해 데이터를 입력하는 단계 501에서 시작한다. 단계 501에서 데이터 입력은, 그 입력 데이터가 제1 원리 시뮬레이션으로 하여금 반도체 프로세싱 툴에 의해 수행되는 프로세스를 특성화하기 위해 사용된 시뮬레이션 결과를 제공할 수 있게 해주는 한, 도 2의 단계 201과 관련하여 설명한 임의의 데이터 타입일 수 있다. 따라서 입력 데이터는 툴/툴 환경의 물리적 속성, 상기 툴에 의해 반도체 웨이퍼에 수행되는 프로세스, 혹은 이러한 프로세스의 결과에 관련된 데이터일 수 있다. 또한, 단계 501의 입력 데이터는 물리적 센서 혹은 제1 원리 시뮬레이션 프로세서(108)에 결합된 계량 툴로부터 직접 입력되거나 또는 수동 입력 장치 혹은 데이터베이스로부터 간접적으로 입력될 수 있다. 데이터가 수동 입력 장치 혹은 데이터베스에 의해 간접적으로 입력되는 경우, 이 데이터는 이전의 실행 프로세스로부터의 센서 데이터와 같은 이전의 실행 프로세스로부터 기록되었던 데이터일 수 있다. 그 대안으로, 상기 데이터는 프로세스 중에 수집된 데이터와 관련이 있을 수 있거나 그렇지 않은 특정의 시뮬레이션을 위한 "최상의 공지된 입력 파라미터"로서 시뮬레이션 작업자에 의해 설정될 수 있다. 상기 프로세싱 툴에 의해 입력된 입력 데이터의 타입은 일반적으로 얻어지게 될 원하는 특성화 데이터에 따라 좌우된다.
입력 데이터를 입력하는데 추가하여, 제1 원리 시뮬레이션 프로세서(108)는 또한 단계 503에 의해 도시된 바와 같이 상기 프로세스를 특성화하기 위한 제1 원리 물리적 모델을 입력한다. 상기 단계 503은 반도체 프로세싱 툴(102)에 의해 수행되는 프로세스를 위한 특성화 데이터를 얻기 위해 제1 원리 시뮬레이션을 수행하는데 필요한 소프트웨어 내에서 전형적으로 코드화된 제1 원리 기본 방정식뿐만 아니라 상기 모델에 의해 모델링된 툴의 물리적 속성을 입력하는 단계를 포함한다. 단계 503의 제1 원리 물리적 모델은 외부 메모리 혹은 상기 프로세서에 합체된 내부 메모리 장치로부터 프로세스에 입력될 수 있다. 또한, 도 5에서는 단계 503이 단계 501에 후속하는 것으로 도시되어 있지만, 제1 원리 시뮬레이션 프로세서(108)는 이들 단계들을 동시에 수행하거나 혹은 도 5에 도시된 순서의 역순으로 수행할 수 있는 것으로 이해되어야 한다.
단계 505에서, 도 1의 프로세서(108)와 같은 제1 원리 시뮬레이션 프로세서 는 제1 원리 시뮬레이션을 실행하고 상기 프로세스를 특성화시키도록 사용된 시뮬레이션 결과를 제공하기 위해 단계 501의 입력 데이터와 단계 503의 제1 원리 물리적 모델을 사용한다. 단계 505는 반도체 프로세스 툴에 의해 수행되는 프로세스와 동시에 수행되거나 시차를 두고 수행될 수 있다. 툴 프로세스와 동시에 실행되지 않은 시뮬레이션은 동일하거나 유사한 프로세서 조건으로 실행된 이전의 프로세스로부터 저장된 초기 및 경계 조건을 사용할 수 있다. 도 2를 참조하여 전술한 바와 같이, 이것은 시뮬레이션이 웨이퍼 프로세스보다도 더 느리게 실행되는 경우에 적합한데, 여기서 시간은 웨이퍼 카세트들 사이에서 소요되고, 심지어는 예컨대, 시뮬레이션 모듈이 요구된 측정치를 풀도록 하는 예방적인 유지보수를 위한 툴 휴지 동안에도 시간이 소요될 수 있다.
제1 원리 시뮬레이션이 반도체 툴에 의해 수행되는 프로세스와 동시에 실행되는 경우, 제1 원리 시뮬레이션은 그 시뮬레이션에 의해 수행되는 실험적인 프로세스에 의해 시험된 동일하거나 상이한 파라미터의 특성화 데이터를 제공할 수 있다. 예컨대, 제1 원리 시뮬레이션은, 반도체 프로세싱 툴에 의해 수행되는 실험적 프로세스의 설계에 의해 테스트되고 있는 파라미터의 변화를 제공하기 위해 수행될 수 있다. 그 대안으로, 제1 원리 시뮬레이션은 반도체 프로세싱 툴에서 수행되는 실험에서 테스트된 파라미터와 상이한 파라미터를 위한 특성화 데이터를 제공할 수도 있다.
상기 시뮬레이션이 일단 단계 505에서 실행되면, 시뮬레이션 결과는 단계 507에 나타난 것처럼 반도체 프로세싱 툴에 의해 수행되는 프로세스를 특성화하기 위한 데이터 세트의 일부로서 사용된다. 전술한 바와 같이, 이러한 시뮬레이션 결과를 특성화 데이터로서 사용함으로써, 프로세스 특성화를 위한 실험 설계 접근법에 요구되는 시간 소모적이고 값비싼 실험의 필요성을 현저하게 줄이거나 없앨 수 있다. 특성화 데이터 세트는 툴에 의해 수행되는 차후의 프로세스에 사용하기 위해 라이브러리에 저장될 수 있다.
또한, 본 발명의 온-툴 제1 원리 시뮬레이션 능력은 디폴트 검출 및 프로세스 제어를 제공하기 위해 사용될 수 있다. 반도체 프로세싱 툴에 의해 수행되는 프로세스의 프로세스 제어와 디폴트 검출을 위한 기존의 방법들은 사실상 대체로 통계학적이다. 이들 방법은 툴의 실행 파라미터들 모두를 변화시키는 동안 복수의 프로세스 실행을 수행해야 하는 부담을 포함하는 실험적 설계 방법론을 필요로 한다. 이러한 프로세스 실행의 결과는 반도체 프로세싱 툴의 프로세스를 검출 혹은 제어하기 위해 조사, 보간법(interpolation), 감도 분석 등을 위한 데이터베이스에 기록된다.
그러나 광범위하게 변하는 실행 조건하에서 툴을 신뢰성 있게 검출 및 제어할 수 있는 전술한 통계적인 방법에 있어서, 데이터베이스의 데이터베이스는 충분히 광범위해야 하는데, 데이터 베이스의 생성에 부담이 된다. 본 발명의 온-툴 제1 원리 시뮬레이션 능력은 프로세스 조건에 대한 툴 반응이 물리적인 제1 원리로부터 직접적이고 정확하게 예측되기 때문에 전술한 임의의 데이터베이스의 생성과, 주어진 정확한 작업 모델 및 정확한 입력 데이터를 필요로 하지 않는다. 그러나 통계적 방법은 작업 모델과 입력 데이터를 세분화시키기 위해 여전히 사용될 수 있 는데, 그 이유는 상이한 실행 조건하에서 런-타임 정보를 이용할 수 있게 되지만, 프로세스 검출 및 제어 능력을 위한 본 발명에서는 이러한 정보를 갖는 것이 요구되지 않기 때문이다. 사실상, 상기 프로세스 모델은 실험적 결과가 물리적으로 만들어지지 않았을 경우 그러한 기지의 실험적 솔루션을 "솔루션"으로 확장시키기 위해 상기 프로세스 모델을 사용함으로써 실험적으로 제어될 수 있는 기초를 제공할 수 있다. 따라서 본 발명의 하나의 실시예는 기지(즉, 물리적으로 관찰된)의 솔루션이 제1 원리 시뮬레이션 모듈 솔루션으로 보충됨에 의해서 프로세스 툴을 실험적으로 특성화하게 되는데, 상기 시뮬레이션 모델은 이미 알고 있는 솔루션과 일치하게 된다. 결국, 통계학이 더욱 발달함에 따라, 시뮬레이션 모델 솔루션은 실험적인 솔루션의 데이터베이스에 의해 대치될 수 있다.
본 발명의 일 실시예에 있어서, 온-툴 제1 원리 시뮬레이션은 프로세스 조건에 대한 툴 반응이 제1 원리로부터 직접 예측되기 때문에 응답을 생성하거나 또는 데이터베이스로 엑세스를 할 필요가 없다. 통계학적 방법은 작업 모델과 입력 데이터를 세분화하기 위해 여전히 사용될 수 있는데, 그 이유는 상이한 실행 조건하에서 런-타임 정보를 이용할 수 있게 되지만, 이러한 정보를 갖는 것은 프로세스 검출 및 제어 능력을 위한 본 발명에서는 요구되지 않기 때문이다.
도 6에는 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위한, 본 발명의 실시예에 따른 제1 원리 시뮬레이션 기법을 사용하기 위한 시스템의 블록도가 도시되어 있다. 도 6에 도시된 바와 같이, 상기 시스템은 고급 공정 제어(APC) 하부구조(604)에 결합된 프로세스 툴(602)을 포함하며, 이 하부구조는 시뮬 레이션 모듈(606), APC 컨트롤러(608) 및 라이브러리(610)를 포함한다. 또한, APC 하부구조(604)에는 계량 툴(612) 및 원격 컨트롤러(614)가 결합되어 있다. 도 6에 도시된 바와 같이, 라이브러리(610)는 솔루션 데이터베이스(616)와 그리드 데이터베이스(618)를 포함한다.
상기 프로세스 툴(602)은 도 1을 참조하여 설명한 반도체 프로세싱 툴(102)과 마찬가지로 구현될 수 있다. 따라서 프로세스 툴(602)은 재료 프로세싱 시스템, 에칭 시스템, 포토레지스트 스핀 코팅 시스템, 리소그래피 시스템, 유전체 코팅 시스템, 증착 시스템, 열 어닐링용 급속 열 프로세싱(RTP) 시스템 및/또는 일괄 확산로(batch diffusion furnace), 혹은 다른 적절한 반도체 제조 프로세스일 수 있다. 도 6에 도시된 바와 같이, 프로세스 툴(602)은 시뮬레이션 모듈(606)에 툴 데이터를 제공하고, 후술하는 바와 같이 APC 컨트롤러(608)로부터 제어 데이터를 받아들인다. 또한, 프로세스 툴(602)은 시뮬레이션 모듈(606)에 프로세스 결과 정보를 제공하는 계량 툴(612)에 결합되어 있다.
상기 시뮬레이션 모듈(606)은 컴퓨터, 워크스테이션, 혹은 툴(602)에 의해 수행되는 프로세스를 제어하기 위해 제1 원리 시뮬레이션 기법을 실행할 수 있는 다른 프로세싱 장치이며, 이에 따라 도 3을 참조하여 설명한 시뮬레이션 모듈(302)로서 구현될 수 있다. 따라서 상기 시뮬레이션 모듈(606)은 도 1을 참조하여 설명한 제1 원리 물리적 모델(106)과 제1 원리 시뮬레이션 프로세서(108)뿐만 아니라 프로세스를 제어하도록 제1 원리 시뮬레이션을 실행하는 것을 도울 수 있는 임의의 다른 하드웨어 및/또는 소프트웨어를 포함한다. 도 6의 실시예에 있어서, 시뮬레 이션 모듈(606)은 프로세싱용 툴(602)의 하나 이상의 진단으로부터 툴 데이터를 수용하고, 시뮬레이션 모델을 실행하는 동안 후속적으로 사용하도록 구성되어 있다. 상기 툴 데이터는 전술한 유체 기계적 데이터, 전기 데이터, 화학 데이터, 열 데이터 및 기계적 데이터 또는 도 1 및 도 2와 관련하여 전술한 임의의 입력 데이터를 포함할 수 있다. 도 6의 실시예에 있어서, 상기 툴 데이터는 시뮬레이션 모듈(606)에 실행되는 모델을 위한 경계 조건과 초기 조건을 결정하기 위해 사용될 수 있다. 모델은 예컨대, 유동장, 전자기장, 온도장, 화학, 표면 화학(즉, 에칭 표면 화학 혹은 증착 표면 화학)을 계산하기 위해서, 전술한 ANSYS, FLUENT, CFD-ACE+를 포함할 수 있다. 제1 원리로부터 개발된 모델은 상기 툴의 프로세스 제어를 위한 입력을 제공하기 위해 프로세싱 시스템 내에서 세부사항을 해석할 수 있다.
상기 APC 컨트롤러(608)는 시뮬레이션 모듈(606)로부터 시뮬레이션 결과를 받고 툴(602) 상에서 수행되는 프로세스의 프로세스 조절/교정을 위한 제어 방법을 구현하도록 시뮬레이션 결과를 이용하기 위해서 시뮬레이션 모듈(606)에 결합되어 있다. 예컨대, 프로세스 불균일성을 교정하기 위해 조절을 행할 수 있다. 본 발명의 일 실시예에 있어서, 하나 이상의 섭동 솔루션(perturbation solution)이 시뮬레이션 모듈(606)에서 실행되고, 프로세스 툴(602)에서 현재 실행되는 프로세스를 위한 프로세스 솔루션에 집중된다. 상기 섭동 솔루션은 그 다음 예컨대, 교정 적용을 위한 n-차 공간 내에서 소정의 방향을 결정하기 위해 최대 경사법(steepest descent method; 1974년, 뉴저지 이글우드 클리프 소재의 Dahlquist & Bjorck, Prentice-Hall, Inc.에서 출간한 수치해석법 441쪽; 1989년 캠브리지 소재의 캠브 리지 대학 출판부에서 출간한 수치해석 방법론 289-306쪽 참조) 등과 같은 비선형 최적화법과 함께 사용될 수 있다. 그 다음, 교정은 APC 컨트롤러(608)에 의해 프로세스 툴(602)에서 구현될 수 있다. 예컨대, 적어도 하나의 툴 데이터(즉, 물리적 센서 데이터), 혹은 시뮬레이션의 현재 실행으로부터 생긴 결과는, 프로세싱 시스템이 주어진 현재 초기/경계 조건에서 기판 위에 놓인 불균일한 정압 필드를 나타내는 것으로 표시할 수 있다. 불균일성은 그 다음 기판 프로세스의 성능을 정량화하기 위해 사용되고 기판 상에서 계량 툴에 의해 측정된 즉, 임계 치수, 형체 깊이, 필름 두께 등의 관찰된 계측 불균일성에 도움을 줄 수 있다. 시뮬레이션의 현재 실행에 입력 파라미터를 섭동시킴으로써, 정압 불균일성을 제거 또는 줄이도록 취할 최상의 "수단(route)"을 결정하기 위해 한 세트의 섭동 솔루션을 얻을 수 있다. 예컨대, 상기 프로세스를 위한 입력 파라미터는 압력, 전력(플라스마 생성을 위해 전극으로 전달된 전력), 가스 유량 등을 포함할 수 있다. 하나의 입력 파라미터를 한꺼번에 섭동시키고 다른 입력 파라미터들 모두를 일정하게 유지시키는 동안, 프로세스 불균일성을 교정하기에 적합한 교정을 유도하기 위해 전술한 최적화법과 함께 사용할 수 있도록 감도 매트릭스가 형성될 수 있다.
본 발명의 또 다른 실시예에 있어서, 시뮬레이션 결과는 본 명세서에 참고 문헌으로 그 내용이 합체되어 있는 공동 계류 중인 미국 특허 출원 번호 제60/343174호, 제목 "프로세스 성능 검출, 식별 및 교정하는 방법"에 개시되어 있는 바와 같이 공식화된 주성분 분석(principal components analysis; PCA) 모델과 협력하여 이용될 수 있다. 거기에 시뮬레이트된 서명(시뮬레이션 모델 결과 즉, 공 간 성분)과 다변량 해석(즉, PCA)을 이용하는 적어도 하나의 제어 가능한 프로세스 파라미터 세트 사이의 관계가 결정될 수 있다. 이러한 관계는 프로세스 성능 변수(즉, 모델 결과)와 일치하는 데이터 프로파일을 향상시키기 위해 사용될 수 있다. 상기 주성분 분석은 반도체 프로세싱 툴의 시뮬레이션의 결과(혹은 추정된 출력)의 공간적 성분과 적어도 하나의 제어 변수(혹은 입력 변수) 세트 사이의 관계를 결정한다. 이러한 결정된 관계는 공간적 성분의 크기의 최소화를 야기하기 위해 그리고 시뮬레이트된 결과(혹은 이용 가능할 경우 측정된 결과)의 불균일성을 향상(혹은 감소)시키기 위해 적어도 하나의 제거 변수(혹은 입력 변수)의 교정을 결정하기 위해 사용된다.
전술한 바와 같이, 도 6에서 시뮬레이션 모듈(606)에 결합된 라이브러리(610)는 솔루션 데이터베이스(616)와 그리드 데이터베이스(618)를 포함하도록 구성되어 있다. 솔루션 데이터베이스(616)는 솔루션의 거친 n-차수의 데이터베이스를 포함할 수 있으며, 그것에 의해 n-차수 공간의 차수 n은 주어진 솔루션 알고리즘에 대해 독립 파라미터의 수에 의해 지배된다. 시뮬레이션 모듈(606)이 주어진 프로세스 실행 동안 툴 데이터를 검색할 때, 상기 라이브러리(610)는 가장 적당한 솔루션을 결정하기 위해 모델 입력을 기초로 탐색될 수 있다. 이러한 솔루션은 본 발명에 따라 후속한 제1 원리 시뮬레이션을 위한 초기 조건으로서 사용될 수 있기 때문에 시뮬레이션 결과를 제공하기 위해 시뮬레이션 모듈에 의해 수행되기를 필요로 하는 반복 횟수가 줄어든다. 각각의 모델 실행에 따라 신규의 솔루션이 솔루션 데이터베이스(616)에 추가될 수 있다. 추가적으로, 그리드 데이터베이스(618)는 하 나 이상의 그리드 세트를 포함할 수 있으며, 이에 의해 각각의 그리드 세트는 주어진 프로세스 툴 혹은 프로세스 툴의 기하학적 형상을 어드레스 한다. 각각의 그리드 세트는 거친 해상도에서 미세한 해상도 범위의 상이한 그리드 해상도를 지닌 하나 이상의 그리드를 포함할 수 있다. 그리드의 선택은 복수 그리드 솔루션 기법(즉, 보다 미세한 그리드, 가장 미세한 그리드에서의 솔루션에 후속하는 거친 그리드에서의 시뮬레이션 결과의 솔루션을 구하는 기법)에 의해 솔루션 시간을 줄이기 위해 사용될 수 있다.
계량 툴(612)은 에칭 속도, 증착 속도, 에칭 선택도(제2 재료의 에칭 속도에 대한 제1 재료의 에칭 속도의 비율), 에칭 임계 치수(예컨대, 특정물의 길이 혹은 폭), 에칭 형체 비등방성(예컨대, 에칭 특징물의 측벽 프로파일), 필름 특성(예컨대, 필름 응력, 다공도 등), 마스크(예컨대, 포토레지스트) 필름 두께, 마스크(예컨대, 포토레지스트) 패턴 입계 치수, 혹은 반도체 프로세싱 툴에 의해 수행되는 프로세스의 다른 임의의 파라미터와 같은 프로세스 성능 파라미터를 측정하도록 구성될 수 있다. 상기 원격 컨트롤러(612)는 문제풀이 프로그램(solver) 파라미터(즉, 문제풀이 프로그램 파라미터 업데이터), 솔루션 상태, 모델 솔루션 및 솔루션 수렴 히스토리를 포함하는 정보를 시뮬레이션 모듈(606)과 교환한다.
도 7에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위해 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도가 도시되어 있다. 이 흐름도는 상기 프로세스 툴(602)과 같은 프로세스 툴 내에서 기판 혹은 기판의 배치(batch)를 프로세싱하는 단계 702에서 시작 하는 것으로 도시되어 있다. 단계 704에서, 툴 데이터가 측정되어 상기 시뮬레이션 모듈(606)과 같은 시뮬레이션 모듈에 입력으로서 제공된다. 그 다음, 경계 조건과 초기 조건은 단계 706에 도시된 바와 같이 모델을 셋업하기 위해 시뮬레이션 모듈의 제1 원리 물리적 모델에 부가된다. 단계 708에서, 제1 원리 물리적 모델이 도 6의 APC 컨트롤러(608)와 같은 컨트롤러에 출력인 제1 원리 시뮬레이션 결과를 제공하도록 실행된다. 그 다음, 상기 컨트롤러는 단계 710에 도시된 바와 같은 시뮬레이션 결과로부터 나온 제어 신호를 결정한다. 예컨대, 런-투-런 혹은 배치-투-배치로부터 언제든지, 작업자는 APC 컨트롤러(608) 내에서 사용될 제어 알고리즘을 선택하는 기회를 가진다. 예컨대, APC 컨트롤러는 프로세스 모델 섭동 결과 혹은 PCA 모델 결과 중 하나를 이용할 수 있다. 런-투-런 혹은 배치-투-배치 중 하나에 있어서, 상기 프로세스는 단계 712에 도시된 바와 같은 시뮬레이션을 사용하여 컨트롤러에 의해 조절/교정될 수 있다.
본 발명의 또 다른 실시예에 있어서, 실험 모델은 프로세스 툴에 의해 수행되는 프로세스의 제어를 제공하기 위해 제1 원리 시뮬레이션과 협력하여 사용될 수 있다. 도 8에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위해 제1 원리 시뮬레이션 기법과 실험 모델을 사용하기 위한 시스템의 블록도가 도시되어 있다. 도 8에 도시된 바와 같이, 상기 시스템은 고급 공정 제어(APC) 하부구조(804)에 결합된 프로세스 툴(802)을 포함하며, 이 하부구조는 시뮬레이션 모듈(806)과 APC 컨트롤러(808)를 포함한다. APC 하부구조(804)에는 또한 계량 툴(812) 및 원격 컨트롤러(814)가 결합되어 있다. 이러한 아이템 들은 실험 모델을 고려하여 기능하도록 추가로 구성되어 있는 도 8의 몇몇 아이템을 제외하고 도 6을 참조하여 설명한 대응하는 아이템과 유사하다. 따라서 도 8에서는 이러한 유사 이이템들의 추가적인 설명이 생략된다.
도 8에 도시된 바와 같이, 상기 시스템은 시뮬레이션 모듈(806)에 결합되어 이 모듈(806)에서 나온 시뮬레이션 결과를 수신하도록 구성된 모델 분석 프로세서(840)를 포함한다. 도 8의 실시예에 따르면, 모델 분석은 시뮬레이션 결관의 무차원화로부터 실험 모델의 구조를 포함한다. 시뮬레이션 결과가 런-투-런 혹은 배치-투-배치 기초로 수신될 때, 실험 모듈이 구성되어 실험 모델 라이브러리(842)에 저장된다. 예컨대, 상기 프로세스 툴(802)은 수율 램프(yield ramp)를 통한 프로세스 개발에서 볼륨 생산까지 범위의 프로세스 사이클의 히스토리를 겪게 된다. 이러한 프로세스 사이클 동안, 상기 툴의 프로세스 챔버는 챔버 자격부여 및 완화(seasoning)를 통한 "크린" 챔버에서 클리닝 및 유지보수 챔버에 선행하는 "경년(aged)" 챔버까지 발전한다. 몇 번의 유지보수 사이클 이후, 실험 모델은 특정의 프로세스 툴과 이와 관련된 프로세스에 해당하는 파라미터 공간의 만족할 만큼 충분한 샘플을 포함하도록 발전될 수 있다. 다시 말해서, 클리닝 사이클, 프로세스 사이클 및 유지보수 사이클을 통해, 툴(802)(시뮬레이션 모듈의 보조와 함께)은 본질적으로 파라미터 공간의 경계를 결정한다. 궁극적으로, 라이브러리(842)에 저장된 상기 전개된 실험 모델은 제1 원리 시뮬레이션을 기초한 일반적으로 더 집약적인 프로세스 모델을 대체할 수 있고, 또 프로세스 조절/교정을 위해 APC 컨트롤러에 입력을 제공할 수 있다.
도 8에 도시된 바와 같이, 원격 컨트롤러(814)는 실험 모델의 전개를 모니터하기 위해 또 시뮬레이션 모듈 컨트롤러 입력 오버라이딩과 실험 모델 컨트롤러 입력 선택을 위한 결정을 행하기 위해 실험 모델 라이브러리(842)에 결합될 수 있다. 또한, 계량 툴(814)은 이와 유사하게 보정(calibration)을 위한 실험 모델 데이터베이스에 입력을 제공하기 위해 실험 모델 데이터베이스(연결부 도시 생략)에 결합될 수 있다.
도 9에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위해 제1 원리 시뮬레이션 기법과 실험 모델을 사용하기 위한 프로세스를 나타내는 흐름도가 도시되어 있다. 이 흐름도는 상기 프로세스 툴(802)과 같은 프로세스 툴 내에서 기판 혹은 기판의 배치(batch)를 프로세싱하는 단계 902에서 시작하는 것으로 도시되어 있다. 단계 904에서, 툴 데이터가 측정되어 상기 시뮬레이션 모듈(806)과 같은 시뮬레이션 모듈에 입력으로서 제공된다. 그 다음, 경계 조건과 초기 조건은 단계 906에 도시된 바와 같이 모델을 셋업하기 위해 시뮬레이션 모듈의 제1 원리 물리적 모델에 부가된다. 단계 908에서, 제1 원리 물리적 모델이 단계 910에 도시된 바와 같이, 분석을 위한 출력이며 실험 모델의 구성인 제1 원리 시뮬레이션 결과를 제공하도록 실행된다.
예컨대, 런-투-런 혹은 배치-투-배치로부터 언제든지, 작업자는 제1 원리 시뮬레이션 혹은 실험 모델을 기초하여 프로세스 제어를 선택하는 기회를 가진다. 실험 모델의 수립에 있어서의 몇몇 지점에서, 작업자는 그 지점에서 주어진 툴 데이터 세트에 대해 정확한 컨트롤러 입력을 신속하게 추출하기 위해 데이터의 라이 브러리, 보간법/외삽법을 사용할 수 있는 실험 모델의 이익이 되도록 서로 제1 원리 시뮬레이션을 오버라이딩하도록 선택될 수 있다. 따라서 판별 블록(912)은 프로세스를 제어하기 위해 제1 원리 시뮬레이션과 실험 모델 중 어느 것을 사용할 것인가를 판별한다. 단계 912에서 오버라이딩이 존재하지 않는 것으로 판단하면, 상기 프로세스는 APC 컨트롤러가 시뮬레이션 결과로부터 제어 신호를 결정하는 단계 914로 속행된다. 모델 오버라이딩이 선택되면, APC 컨트롤러는 단계 916에 도시된 바와 같이 실험 모델로부터 제어 신호를 결정한다. 또 다른 실시예에 있어서, 제1 원리 시뮬레이션 결과와 실험 모델링의 조합은 프로세스를 제어하기 위해 APC 컨트롤러에 의해 사용될 수 있다. 단계 918에 도시된 바와 같이, 상기 프로세스는 단계 914에 도시된 모델 출력 혹은 단계 916에 도시된 실험 모델 출력 중 하나를 사용하여 컨트롤러에 의해 조절/교정될 수 있다. 따라서 도 9의 프로세스는 실험 모델의 원위치(in-situ) 구성 방법을 도시하며, 일단 충분히 만족하면, 상기 실험 모델은 연산적으로 집약적인 시뮬레이션 프로세스 모델을 오버라이딩 할 수 있다. 프로세스 제어 동안, 지수 가중 이동 평균(EWMA) 필터 등의 필터가 단지 요구되는 교정의 분율을 부여하기 위해 사용될 수 있다. 예컨대, 필터의 적용은 Xnew = (1-λ)Xold + λ(Xpredicted - Xold)의 형태를 취할 수 있는데, 여기서 Xnew는 주어진 입력 파라미터(제어 변수)에 대한 새로운 값이며, Xold는 주어진 입력 파라미터에 대한 기 설정값(혹은 이미 사용된 값)이고, Xpredicted는 전술한 기법들 중 하나를 기초한 입력 파라미터에 대한 추정값이며, λ는 0 내지 1 범위의 필터 계수이다.
본 발명의 또 다른 실시예에 있어서, 폴트 검출기/분류기는 프로세스 툴에 의해 수행되는 프로세스의 제어를 제공하기 위해 제1 원리 시뮬레이션과 협력하여 사용될 수 있다. 도 10에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하기 위해 제1 원리 시뮬레이션 기법과 폴트 검출기를 사용하기 위한 시스템의 블록도가 도시되어 있다. 도 10에 도시된 바와 같이, 상기 시스템은 고급 공정 제어(APC) 하부구조(1004)에 결합된 프로세스 툴(1002)을 포함하며, 이 하부구조는 시뮬레이션 모듈(1006), APC 컨트롤러(1008), 및 라이브러리(1010)를 포함한다. 도 10에 도시는 생략되어 있지만, 상기 라이브러리(1010)는 솔루션 데이터베이스와 그리드 데이터베이스를 포함한다. APC 하부구조(1004)에는 또한 계량 툴(1012) 및 원격 컨트롤러(1014)가 결합되어 있다. 이러한 아이템들은 폴트 검출을 고려하여 기능하도록 추가로 구성되어 있는 도 10의 몇몇 아이템을 제외하고 도 6을 참조하여 설명한 대응하는 아이템과 유사하다. 따라서 도 10에서는 이러한 유사 이이템들의 추가적인 설명이 생략된다.
도 10에 도시된 바와 같이, 상기 시스템은 시뮬레이션 모듈(1006)에 결합되어 이 모듈(1006)에서 나온 시뮬레이션 결과를 수신하도록 구성된 폴트 검출기(1040)를 포함한다. 예컨대, 시뮬레이션 모듈(1006)의 출력은 데이터 프로파일을 포함할 수 있다. 그 다음, 이 데이터 프로파일은 폴트 검출 장치(1040)에서 수행되는 부분 최소 제곱법(PLS) 등의 다변량 해석에 입력으로 소용될 수 있다. 부분 최소 제곱법에서, 툴 섭동 데이터(
Figure 112005075718692-PCT00011
)를 시뮬레이트 결과(Ysim)와 실제 결과(Yreal) 사이의 차이를 나타내는 프로세스 성능 데이터(
Figure 112005075718692-PCT00012
)에 결부시키는 로딩(혹은 상관관계) 계수 세트가 정의될 수 있다.
예컨대, 부분 최소 제곱법(PLS)을 사용하여, 툴 섭동 데이터의 관찰 세트는 시뮬레이션 모듈로부터 폴트 검출기(1040)에 의해 수신된다. 툴 섭동 데이터는 현재의 모델 솔루션에 집중된 원위치(in-situ)에서 결정되거나 또는 프로세스 모델을 이용하여 n-차수 솔루션 공간 내의 선험(priori)에서 결정된다. n-차수 파라미터 공간의 차수(n)는 솔루션 공간 내의 독립 파라미터(즉, 압력, 질량 유량, 온도 등, 후술하는 내용 참조)의 수와 관계가 있다.
주어진 섭동 세트에 대해, 각각의 섭동 도함수(즉, ∂Y/∂v1, ∂Y/∂2, ∂Y/∂v3,; 여기서 v1, v2, v3은 상이한 독립 파라미터)가 매트릭스
Figure 112005075718692-PCT00013
에 저장된다. 각각의 관찰 세트에 있어서, 툴 섭동 데이터는 매트릭스
Figure 112005075718692-PCT00014
에서 열(column)로 저장될 수 있고, 프로세스 성능 데이터(즉, Ysim-Yreal)는 매트릭스
Figure 112005075718692-PCT00015
에서 열로 저장될 수 있다. 따라서 매트릭스
Figure 112005075718692-PCT00016
가 일단 조립되면, 각각의 행은 상이한 섭동 관찰을 나타내고 각각의 열은 상이한 툴 데이터 파라미터를 나타낸다. 매트릭스
Figure 112005075718692-PCT00017
가 일단 조립되면, 각각의 행은 상이한 관찰을 나타내고 각각의 열은 상이한 프로세스 성능 변수를 나타낸다. 일반적으로, 매트릭스
Figure 112005075718692-PCT00018
는 m × n 매트릭스일 수 있고, 매트릭스
Figure 112005075718692-PCT00019
는 m × p 매트릭스일 수 있다. 모든 데이터가 매트릭스에 저장될 경우, 상기 데이터는 필요에 따라 평균-센터링 및/또는 표준화될 수 있다. 매트릭스 열에 저장된 데이터의 평균-센터링 프로세스는 열 원소의 평균값을 연산하는 것과 각각의 원소로부터 평균값을 빼는 것을 포함한다. 또한, 상기 매트릭스 열에 있는 데이터는 그 열에 있는 데이터의 표준 편차에 의해 표준화될 수 있다.
일반적으로, 다변량 해석에 있어서, 툴 데이터와 프로세서 성능 데이터 사이의 관계를 다음과 같이 표현될 수 있다.
Figure 112005075718692-PCT00020
여기서,
Figure 112005075718692-PCT00021
는 전술한 m × n 매트릭스를 나타내며,
Figure 112005075718692-PCT00022
는 n × p(p<n) 로딩(혹은 상관관계) 매트릭스를 나타내며, 그리고
Figure 112005075718692-PCT00023
는 전술한 m × p 매트릭스를 나타낸다. 데이터 매트릭스
Figure 112005075718692-PCT00024
Figure 112005075718692-PCT00025
가 일단 조립되면,
Figure 112005075718692-PCT00026
Figure 112005075718692-PCT00027
공간이 최상의 근사값이 되도록 그리고
Figure 112005075718692-PCT00028
Figure 112005075718692-PCT00029
사이의 상관관계를 최대화시키기 위해 계획된 관계는 PLS 분석을 이용하여 달성된다.
PLS 분석 모델에 있어서, 매트릭스
Figure 112005075718692-PCT00030
Figure 112005075718692-PCT00031
는 다음과 같이 분해될 수 있다.
Figure 112005075718692-PCT00032
Figure 112005075718692-PCT00033
Figure 112005075718692-PCT00034
여기서,
Figure 112005075718692-PCT00035
Figure 112005075718692-PCT00036
변수의 총계를 내는 스코어 매트릭스이며,
Figure 112005075718692-PCT00037
Figure 112005075718692-PCT00038
매트릭스 에 대한 로딩 매트릭스이며,
Figure 112005075718692-PCT00039
Figure 112005075718692-PCT00040
변수의 총계를 내는 스코어 매트릭스이며,
Figure 112005075718692-PCT00041
Figure 112005075718692-PCT00042
Figure 112005075718692-PCT00043
(
Figure 112005075718692-PCT00044
) 사이의 상관관계를 나타내는 중량 매트릭스이고,
Figure 112005075718692-PCT00045
,
Figure 112005075718692-PCT00046
, 및
Figure 112005075718692-PCT00047
는 나머지 매트릭스다. 또한, PLS 분석 모델에서,
Figure 112005075718692-PCT00048
Figure 112005075718692-PCT00049
를 상관시키는 중량으로 불리는
Figure 112005075718692-PCT00050
를 계산하기 위해 사용되는 추가적인 로딩(
Figure 112005075718692-PCT00051
)이 존재한다.
요약하자면, PLS 분석은 원래의 데이터 테이블
Figure 112005075718692-PCT00052
Figure 112005075718692-PCT00053
를 꼭들어 맞게 근사값이 되도록 하고 하이퍼 평면(hyper-plane) 상의 관찰 위치들 사이에서 공분산을 극대화시킬 목적으로 선, 평면 혹은 다차원 공간의 점으로서 표현된
Figure 112005075718692-PCT00054
Figure 112005075718692-PCT00055
데이터 양자에 대한 하이퍼 평면에 기하학적으로 일치한다.
도 11에는 부분 최소 제곱법(PLS) 분석을 위한 데이터 입력,
Figure 112005075718692-PCT00056
Figure 112005075718692-PCT00057
, 및 대응하는 출력
Figure 112005075718692-PCT00058
,
Figure 112005075718692-PCT00059
,
Figure 112005075718692-PCT00060
,
Figure 112005075718692-PCT00061
,
Figure 112005075718692-PCT00062
,
Figure 112005075718692-PCT00063
,
Figure 112005075718692-PCT00064
,
Figure 112005075718692-PCT00065
와 프로젝션에서의 변수 중요도(variable importance in the projection ; VIP)이 개략적으로 도시되어 있다. PLS 분석 모델링을 지원하는 상업적으로 입수 가능한 소프트웨어의 일례로는 MATLAB(메사추세츠주 나틱 소재의 The Mathworks, Inc의 제품) 혹은 SIMCA-P 8.0(뉴저지주 키넬온 소재의 Umetrics 제품)과 함께 제공된 PLS_Toolbox가 있다. 예컨대, 이러한 소프트웨어의 세부사항은 SIMCA-P 8.0의 사용자 매뉴얼인 사용자 가이드에 제공되며, 다변량 데이터 해석에서 새로운 표준은 본 발명에 또한 적합할 수 있는 Umetrics AB 8.0 버전이다. 일단 매트릭스가 공식화되면, 매트릭스 X는 각각의 시뮬레이션 결과를 위해 결정된다. 시뮬레이트된 결과와 실제 결과 사이의 어떤 차이가 결정될 수 있고, PLS 해석과 VIP 결과를 사용하여 특정(독립) 프로세스 파라미터로 추정될 수 있다. 예컨대, PLS 모델에서 나온 최대 VIP 값 출력은 상기 차이와 가장 있음직한 원인이 될 수 있는 프로세스 파라미터와 일치한다.
도 12에는 본 발명의 실시예에 따른 반도체 프로세싱 툴에 의해 수행되는 프로세스를 제어하고 폴트를 검출하기 위해 제1 원리 시뮬레이션 기법을 사용하기 위한 프로세스를 나타내는 흐름도가 도시되어 있다. 이 흐름도는 상기 프로세스 툴(1002)과 같은 프로세스 툴 내에서 기판 혹은 기판의 배치(batch)를 프로세싱하는 단계 1202에서 시작하는 것으로 도시되어 있다. 단계 1204에서, 툴 데이터가 측정되어 상기 시뮬레이션 모듈(1006)과 같은 시뮬레이션 모듈에 입력으로서 제공된다. 그 다음, 경계 조건과 초기 조건은 단계 1206에 도시된 바와 같이 모델을 셋업하기 위해 시뮬레이션 모듈의 물리적 모델에 부가된다. 단계 1208에서, 제1 원리 물리적 모델이 도 10의 APC 컨트롤러(1008)와 같은 컨트롤러에 출력인 제1 원리 시뮬레이션 결과를 제공하도록 실행된다. 예컨대, 런-투-런 혹은 배치-투-배치로부터 언제든지, 작업자는 APC 컨트롤러 내에서 사용될 제어 모델을 선택하는 기회를 가진다. 예컨대, APC 컨트롤러는 프로세스 모델 섭동 결과 혹은 PCA 모델 결과 중 하나를 이용할 수 있다. 런-투-런 혹은 배치-투-배치 중 하나에 있어서, 상기 프로세스는 모델 출력을 이용하여 컨트롤러에 의해 조절/교정될 수 있다. 단계 1010에서, 프로세스 모델 출력은 폴트 검출 장치(1040)에서 PLS 모델로 입력으로서 소용되어 단계 1012에서 폴트가 검출되어 분류되도록 해준다. 예컨대, 전술한 바와 같이, 실제 프로세스 성능 Yreal과 주어진 프로세스 조건(즉, 입력 제어 변수 세트)에 대해 시뮬레이트된(혹은 추정된) 프로세스 성능 Ysim과의 차이는 프로세스 폴트의 존재를 결정하기 위해 사용될 수 있고, 여기서 Yreal은 물리적 센서 혹은 계량 툴 중 하나를 사용하여 측정한 것이고, Ysim은 현재 프로세스 조건에 대한 입력이 제공된 시뮬레이션을 실행시킴으로써 결정된다. 실제 결과와 시뮬레이트된 결과 사이의 차이(혹은 분산, 평균 제곱근 혹은 다른 통계치)가 예정된 임계치를 초과할 경우, 폴트는 이미 발생한 것으로 추정될 수 있다. 예정된 임계치는 예컨대, 특정 데이터에 대한 평균값 즉, 5%, 10%, 15%의 분율을 포함할 수 있거나 또는 상기 데이터의 평균 제곱근 즉, 1σ, 2σ, 3σ의 곱일 수 있다. 폴트가 검출되면, PLS 해석을 이용하여 그것이 분류될 수 있다. 예컨대, 감도 매트릭스
Figure 112005075718692-PCT00066
는 주어진 입력 조건(즉, 입력 제어 변수 세트)에 대해 결정(가능한 한 라이브러리(1010)에 저장)되었다. 툴 섭동 데이터(감도 매트릭스)는 현재의 모델 솔루션에 집중된 원위치(in-situ)에서 결정되거나 또는 프로세스 모델을 이용하여 n-차수 솔루션 공간 내의 선험에서 결정된다. 감도 매트릭스 및 실제 결과와 시뮬레이트된 결과 사이의 차이를 사용함으로써, 수학식 1은 실제 결과와 시뮬레이트된 결과 사이의 관측된 차이를 갖는 가장 큰 상관관계를 나타내는 전술한 제어 변수(입력 파라미터)를 식별하도록 PLS 해석을 이용하여 풀릴 수 있다. 상기 제공된 예를 사용하여, 프로세스 성능은 기판을 오버라이딩하는 공간을 가로지르는 정압 프로파일에 의해 총계를 낼 수 있다. 실제 결과 Yreal은 측정된 압력 프로파일을 나타내고, Ysim은 시뮬레이트된 압력 프로파일을 나타낸다. 그러나 가스 유량을 설정한 것으로 가정하면, 질량 유동 컨트롤러는 유량(여전히 설정값을 기록)을 배로 늘린다. 시뮬레이트된 압력 프 로파일과 측정된(실제) 압력 프로파일 간의 차이를 알 수 있게 되는데, 즉 실제 경우와 시뮬레이트된 경우 사이의 2개의 인자에 의해 오프 된다. 측정된 결과와 시뮬레이트된 결과 간의 차이는 예정된 임계치를 능가할 정도로 충분히 커지게 된다. PLS 해석을 사용하여, 압력 프로파일에 가장 크게 영향 미치는 경향이 있는 전술한 파라미터는 가스 유량과 같이 식별된다. 폴트의 존재와 그 특징은 프로세스 툴 폴트 상태로 작업자에 기록될 수 있거나 또는 APC 컨트롤러가 폴트 검출에 반응하여 프로세스 툴의 제어(휴지 등)를 수행할 수 있도록 해줄 수 있다.
도 13에는 본 발명의 프로세스 제어 실시예가 적용될 수 있는 진공 프로세싱 시스템의 블록도가 도시되어 있다. 도 13에 도시된 진공 프로세싱 시스템은 예시를 위해 제공된 것이지 어떠한 방법으로도 본 발명의 범위를 축소하려는 의도는 없다. 상기 진공 프로세싱 시스템은 기판(1305)을 지지하기 위한 기판 홀더(1304)를 구비하는 프로세스 툴(1302)과, 가스 분사 시스템(1306) 및 진공 펌핑 시스템을 포함한다. 상기 가스 분사 시스템(1306)은 가스 분사판, 가스 분사 플러넘(plenum), 및 가스 분사 블레넘 내의 하나 이상의 가스 분사 배플판을 포함할 수 있다. 가스 분사 플러넘은 가스 A와 가스 B 등의 하나 이상의 공급부에 결합될 수 있으며, 프로세싱 시스템으로의 가스 A와 가스 B의 질량 유량은 2개의 질량 유동 컨트롤러 MFCA(1308) 및 MFCB(1310)에 의해 영향을 받는다. 또한, 압력(P1)을 측정하기 위한 압력 센서(1312)는 가스 분사 플러넘에 결합될 수 있다. 기판 홀더는 예컨대, 한정하려는 의도는 아니지만 기판과 기판 홀더 사이의 가스-간극 열전도를 향상시키기 위해 헬륨 가스 공급부, 정전 클램핑 시스템, 냉각 요소와 가열 요소를 구비 하는 온도 제어 요소, 기판을 기판 홀드의 표면에 그리고 그 표면으로부터 들어올리기 위한 리프트 핀을 포함하여 복수 개의 부품들을 포함할 수 있다. 추가적으로, 상기 기판 홀더는 기판 홀더 온도(T1) 혹은 기판 온도를 측정하기 위한 온도 센서(1314)와, 냉각제 온도(T3)를 측정하기 위한 온도 센서(1316)를 포함할 수 있다. 전술한 바와 같이, 헬륨 가스는 기판의 배면으로 공급되고, 여기서 가스-간극 압력(P(He))은 하나 이상의 위치에 변할 수 있다. 또한, 또 다른 압력 센서(1318)는 챔버 압력(P2)을 측정하기 위해 프로세스 툴에 결합될 수 있고, 또 다른 온도 센서(1320)는 표면 온도(T2)를 측정하기 위해 프로세스 툴에 결합될 수 있고, 또 다른 압력 센서(1322)는 입구 압력(P3)을 측정하기 위해 진공 펌핑 시스템의 입구에 결합될 수 있다.
진단 컨트롤러(1324)는 전술한 각각의 센서에 결합될 수 있고, 이들 센서로부터의 측정값을 시뮬레이션 모듈에 제공하도록 구성될 수 있다. 도 13의 예시적인 시스템에 있어서, 시뮬레이션 모듈 상에서 실행된 모델은 예컨대, 3개의 부품 즉, 열 부품, 가스 동적 부품, 및 화학 부품을 포함한다. 제1 부품에서, 가스-간극 압력장은 가스-간극 열전도를 계산하는 것에 앞서 결정될 수 있다. 그 후, 기판(및 기판 홀더)에 대한 공간적으로 귀착된 온도장은 경계 온도, 경계 열 플럭스, 저항식 가열 요소 축적된 전력, 냉각 요소에 제거된 전력, 플라스마의 존재로 인한 기판 표면에서의 열 플럭스 등의 경계 조건(및 초기 조건)을 적절하게 설정함으로써 결정될 수 있다.
본 발명의 일 실시예에 있어서, ANSYS는 온도장을 연산하기 위해 이용된다. 프로세스 모델의 제2 부품(즉, 가스 동적 부품)을 이용함으로써, 가스 압력장과 속도 필드는 열 부품에서 연산된 표면 온도와 전술한 여러 측정치를 사용하여 결정될 수 있다. 예컨대, 질량 유량 및 온도(P1)는 입구 조건을 결정하도록 이용될 수 있고, 압력(P3)은 출구 조건을 결정하기 위해 사용될 수 있으며, 그리고 CFD-ACE+는 가스 압력과 속도 필드를 연산하기 위해 사용될 수 있다. 화학 모듈(즉, 제3의 부품)을 이용함으로써, 미리 연산된 속도, 압력, 및 온도장은 예컨대, 에칭 속도를 연산하기 위해 화학 모델에 입력으로서 이용될 수 있다. 프로세스 툴 기하학적 형상의 복잡성으로 인해, 이들 모델 부품 각각은 배치-대-배치 프로세스 사이클 내에서 시간 스케일로 실행될 수 있다. 이들 부품들 중 어느 하나는 예컨대, 프로세스 제어, 계량, 프로세스 특성화 및/또는 폴트 검출/분류를 위한 입력으로서 공간적 균일성 데이터를 제공하기 위해 사용될 수 있다.
유도된 모델 및 프로세싱 조건의 변화 및/또는 반응기 에이징 등의 영향에 응하는 프로세스의 분석으로부터, 실험 모델은 시간의 경과에 따라 동화될 수 있다. 이와 마찬가지로, 반응기에서의 반복 횟수가 표준 통계학적 분석 프로그램에 의해 결정된 것과 마찬가지로 통계학적으로 중요할 때, 상기 프로세스 제어는 이전에 실행된 연산의 실질적인 "반복"인 전술한 프로세스들에 실험적으로 기초를 둔 제어로 전개된다. 그러나 본 발명에 따르면, 상기 프로세스 제어는 필요에 따라 새로운 프로세스 혹은 프로세스 기하학적 형상에서의 변화를 수용하도록 제1 원리 시뮬레이션을 수행하는 능력을 되돌린다.
도 14에는 본 발명의 실시예를 구현할 수 있는 컴퓨터 시스템(1401)의 블록 도가 도시되어 있다. 상기 컴퓨터 시스템(1401)은 전술한 제1 원리 시뮬레이션 프로세서의 기능들 중 일부 혹은 전부를 수행하도록 제1 원리 시뮬레이션 프로세서(108)로서 사용될 수 있거나 또는 도 1 내지 도 13을 참조하여 설명한 임의의 프로세스 단계를 수행하기 위해 그 밖의 다른 장치로서 사용될 수 있다. 상기 컴퓨터 시스템(1401)은 정보를 통신하기 위한 버스(1402) 혹은 다른 통신 메커니즘과, 정보 처리를 위한 버스(1402)와 결합된 프로세서(1403)를 포함한다. 상기 컴퓨터 시스템(1401)은 또한 랜덤 엑세스 메모리(RAM) 혹은 다른 동적 저장 장치[예컨대, 동적 RAM(DRAM)] 등의 주메모리(1404), 정적 RAM(SRAM), 및 프로세스(1403)에 의해 실행될 정보 및 명령을 저장하기 위한 버스(1402)에 결합된 동기식 DRAM(SDRAM)을 포함한다. 추가적으로, 주메모리(1404)는 일시적인 변수 혹은 프로세서(1403)에 의한 명령의 실행 동안 다른 중간 정보를 저장하기 위해 사용될 수 있다. 상기 컴퓨터 시스템(1401)은 판독 전용 메모리(ROM)(1405) 혹은 프로세스(1403)를 위한 정적 정보와 명령 저장용 버스(1402)에 결합된 다른 정적 저장 장치[예컨대, 프로그램 가능한 ROM(PROM), 지울 수 있는 PROM(EPROM) 및 전기적으로 지울 수 있는 PROM(EEPROM)]를 더 포함한다.
상기 컴퓨터 시스템(1401)은 또한 마그네틱 하드디스크(1407)와 제거 가능한 매체 드라이브(1408)(예컨대, 플로피 디스크 드라이브, 판독 전용 콤팩트디스크 드라이버, 읽기/쓰기 콤팩트디스크 드라이브, 콤팩트디스크 주크박스, 테이프 드라이브 및 제거 가능한 광자기 드라이브) 등의 정보 및 명령 저장용 하나 이상의 저장 장치를 제어하기 위해 버스(1402)에 결합되어 있는 디스크 컨트롤러(1406)를 포함 한다. 이 저장 장치는 적정한 장치 인터페이스(예컨대, 소형 컴퓨터 시스템 인터페이스(SCSI), 집적 장치 일렉트로닉스(IDE), 향상된 IDE(E-IDE), 직접 메모리 엑세스(DMA) 혹은 ultra-DMA)를 사용하여 상기 컴퓨터 시스템(1401)에 추가될 수 있다.
상기 컴퓨터 시스템(1401)은 또한 전용 논리 소자(예컨대, 주문형 집적 회로(ASICs)) 혹은 가변구성 논리 소자(예컨대, 단순형 프로그램 가능 논리 소자(SPLDs), 복잡형 프로그램 가능 논리 소자(CPLDs) 및 필드 프로그램 가능 게이트 어레이(FPGAs))를 포함할 수 있다.
상기 컴퓨터 시스템(1401)은 또한 정보를 컴퓨터 사용자에게 디스플레이하기 위한 음극선관(CRT) 등의 디스플레이(1410)를 제어하기 위해 버스(1402)에 결합된 디스플레이 컨트롤러(1409)를 포함할 수 있다. 이 컴퓨터 시스템은 컴퓨터 사용자와 상호 작용하여 프로세서(1403)에 정보를 제공하기 위한 키보드(1411) 및 포인팅 디바이스(1412) 등의 입력 장치를 포함한다. 포인팅 디바이스(1412)는 예컨대, 방향 정보와 명령 선택을 프로세서(1403)에 전달하고 디스플레이(1410) 상의 커서 이동을 제어하기 위한 마우스, 트랙볼 혹은 포인팅 스틱을 포함한다. 추가적으로, 프린터는 컴퓨터 시스템(1401)에 의해 저장 및/또는 생성된 프린트 데이터 리스트를 제공할 수 있다.
컴퓨터 시스템(1401)은 메인 메모리(1404) 등의 메모리에 담긴 하나 이상의 명령의 하나 이상의 시퀀스를 실행시키는 프로세서(1403)에 반응하여 본 발명의 프로세싱 단계의 일부 혹은 전부를 수행한다. 이러한 명령은 하드 디스크(1407) 혹 은 제거 가능한 미디어 드라이브(1408) 등의 또 다른 컴퓨터 판독 매체로부터 메인 메모리(1404)에 판독될 수 있다. 멀티-프로세싱 장치에서 하나 이상의 프로세서는 또한 메인 메모리(1404)에 담긴 명령 시퀀스를 실행시키기 위해 사용될 수 있다. 변형례로서, 하드 와이어드(hard-wired) 회로가 소프트웨어 명령 대신에 또는 그것과 함께 사용될 수 있다. 따라서 실시예들은 임의의 특정 조합의 하드웨어 회로 및 소프트웨어에 한정되는 것은 아니다.
전술한 바와 같이, 컴퓨터 시스템(1401)은 본 발명의 교시에 따라 프로그램된 명령을 남겨두기 위해 그리고 데이터 구조, 테이블, 레코드 혹은 본 명세서에 설명된 다른 데이터를 담기 위해 적어도 하나의 컴퓨터 판독 매체 혹은 메모리를 포함한다. 컴퓨터 판독 가능한 매체의 예로는 콤팩트디스크, 하드 디스크, 플로피 디스크, 테이프, 광자기 디스크, PROMs(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM, 혹은 다른 임의의 마그네틱 매체, 콤팩트디스크(예컨대, CD-ROM), 혹은 다른 임의의 광학 매체, 펀치 카드, 종이테이프, 혹은 구멍 패턴, 반송파(후술하는 내용 참조), 혹은 컴퓨터가 읽어 들일 수 있는 다른 임의의 매체를 갖는 다른 물리적 매체이다.
본 발명은 컴퓨터가 판독 가능한 매체의 임의의 하나 혹은 조합에 저장되는 동시에, 컴퓨터 시스템(1401)을 제어하고, 본 발명을 구현하는 장치 혹은 장치들을 구동하고, 그리고 컴퓨터 시스템(1401)이 사용인(예컨대 프린트 개발자)과 상호 작용할 수 있도록 해주기 위한 소프트웨어를 포함한다. 이러한 소프트웨어는 한정하려는 의도는 아니지만, 장치 드라이버, 실행 시스템, 개발 툴, 응용 소프트웨어를 포함할 수 있다. 이러한 컴퓨터 판독 가능한 미디어는 본 발명의 구현에 수행되는 프로세싱의 일부 혹은 전부(프로세싱이 분류될 경우)를 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코드 장치는 한정하려는 의도는 아니지만 해석 가능한 프로그램, 동적 링크 라이브러리(DLLs), 자바 클래스, 및 완전한 실행 가능한 프로그램을 포함하여 임의의 해석 가능한 혹은 실행 가능한 코드 기구를 포함할 수 있다. 또한, 본 발명의 프로세싱 부품들은 더 양호한 성능, 신뢰성 및/또는 비용을 위해 보급될 수 있다.
본 발명에서 사용한 용어 "컴퓨터 판독 가능한 매체"는 프로세서(1403)에 실행을 위한 명령을 제공하는데 관여하는 임의의 매체를 일컫기 위해 사용된다. 컴퓨터 판독 가능한 매체는 한정하려는 의도는 아니지만, 비휘발성 미디어, 휘발성 미디어, 및 전송 미디어를 포함한 많은 형태를 취할 수 있다. 비휘발성 미디어는 예컨대, 하드 디스크(1407) 혹은 제거 가능한 미디어 드라이브(1408) 등의 광학, 마그네틱 디스크, 및 광자기 디스크를 포함한다. 휘발성 미디어는 메인 메모리(1404)와 같은 동적 메모리를 포함한다. 전송 미디어는 버스(1402)를 구성하는 와이어를 포함하여 동축 케이블, 구리 와이어 및 광섬유를 포함한다. 전송 미디어는 또한 라이오파 및 적외선 데이터 통신 동안 발생되는 것과 같은 음파 혹은 광파의 형태를 취할 수 있다.
각종 형태의 컴퓨터 판독 가능한 미디어는 프로세서(1403)에 하나 이상의 명령의 하나 이상의 시퀀스를 실행하는데 수반될 수 있다. 예컨대, 명령은 초기에 원격 컴퓨터의 마그네틱 디스크에서 속행될 수 있다. 상기 원격 컴퓨터는 본 발명의 전부 혹은 일부를 구현하기 위한 명령을 동적 메모리로 로딩할 수 있고 그 명령을 모뎀을 사용하여 전화선으로 전송할 수 있다. 컴퓨터 시스템(1401)에 부속된 모뎀은 전화선 상에서 데이터를 수신하고 데이터를 적외선 신호로 변환하기 위해 적외선 변환기를 사용할 수 있다. 버스(1402)에 결합된 적외선 검출기는 적외선 신호에 실린 데이터를 수신하고 그 데이터를 버스(1402)에 배치할 수 있다. 버스(1402)는 데이터를 메인 메모리(1404)로 옮기며, 이 메모리로부터 프로세서(1403)는 명령을 검색 및 실행한다. 메인 메모리(1404)에 의해 수신된 명령은 프로세서(1403)에 의한 실행 전후에 저장 장치(1407 혹은 1408)에 선택적으로 저장될 수 있다.
컴퓨터 시스템(1401)은 버스(1402)에 결합된 통신 인터페이스(1413)를 또한 포함한다. 통신 인터페이스(1413)는 예컨대, 근거리 통신망(LAN)에 혹은 인터넷 등의 또 다른 통신망(1416)에 접속되어 있는 네트워크 링크(1414)에 결합하는 2방향 데이터 통신을 제공한다. 예컨대, 통신 인터페이스(1413)는 임의의 패킷 교환 LAN에 고정되는 네트워크 인터페이스 카드일 수 있다. 또 다른 예로서, 통신 인터페이스(1413)는 비대칭 디지털 가입자 회선(asymmetric digital subscriber line; ADSL), 종합 정보 통신망(integrated service digital network; ISDN) 카드 혹은 대응하는 타입의 통신선에 데이터 통신 연결부를 제공하기 위한 모뎀일 수 있다. 무선 링크가 또한 구현될 수 있다. 이러한 구현에 있어서, 통신 인터페이스(1413)는 각종 형태의 정보를 나타내는 디지털 데이터 스트림을 수반하는 전기, 전자기 혹은 광 신호를 전송 및 수신한다.
상기 네트워크 링크(1414)는 통상적으로 하나 또는 그 이상의 네트워크를 통해 다른 데이터 장치에 데이터 통신을 제공한다. 예컨대, 상기 네트워크 링크(1414)는 로컬 네트워크(1415)(예컨대, LAN) 혹은 통신망(1416)을 통해 통신 서비스를 제공하는 서비스 공급업자에 의해 실행된 장비를 통해 또 다른 컴퓨터에 연결부를 제공할 수 있다. 로컬 네트워크(1414)와 통신 네트워크(1416)는 예컨대, 디지털 데이터 스트림을 반송하는 전기, 전자기, 혹은 광신호와 관련된 물리층(예컨대, CAT 5 케이블, 동축 케이블, 광섬유 등)을 사용한다. 각종 네트워크를 통한 신호와 컴퓨터 시스템(1401)에 그리고 그것에서 나온 디지털 데이터를 반송하는 통신 인터페이스(1413)를 통과하는 네트워크 링크(1414) 상의 신호는 기저 대역 신호 혹은 반송파 기반 신호로 구현될 수 있다. 기저 대역 신호는 디지털 데이터 비트 스트림으로 설명된 비동조 전기 펄스로서 운반되며, 용어 "비트(bit)"는 심벌을 의미하는 것으로 광의로 해석되고, 각각의 심벌은 적어도 하나 이상의 정보 비트를 운반한다. 디지털 데이터는 전도성 미디어 위로 전파되거나 또는 전파 매체를 통한 전자기파로서 전송된 진폭을 지닌 상 및/또는 주파수 시프트 키 신호와 같은 반송파를 변조시키기 위해 또한 사용될 수 있다. 따라서 디지털 신호는 "유선(wired)" 통신 채널을 통해 비동조된 기저 대역 데이터로서 전송 및/또는 반송파를 변조시킴으로써 기저 대역과 다른 예정된 주파수 대역 내에서 전송될 수 있다. 컴퓨터 시스템(1401)은 네트워크(1415, 1416), 네트워크 링크(1414), 통신 인터페이스(1413)를 통해 프로그램 코드를 포함하는 데이터를 전송 및 수신할 수 있다. 또 한, 상기 네트워크 링크(1414)는 LAN(1415)을 통해 개인휴대단말기(PDA), 랩탑 컴퓨터 혹은 휴대전화 등의 모바일 장치(1417)로의 연결부를 제공할 수 있다.
본 발명의 많은 수정 및 변형은 전술한 교시의 관점에서 가능할 수 있다. 따라서 첨부된 청구범위의 범주 내에서 본 발명은 발명의 상세한 설명에서 구체적으로 설명된 것과 다르게 실시될 수 있다는 것으로 이해되어야 한다. 예컨대, 상기 발명의 상사한 설명에 기재되고 청구범위에서 인용된 프로세스 단계들은 전술하거나 목록에 실린 시퀀스와 다른 시퀀스로 혹은 동시에 실행될 수 있다. 당업자이 이해할 수 있는 바와 같이, 후속 프로세스 단계의 실행에 필요한 전술한 프로세스 단계들만이 후속 프로세스 단계를 실행하기 전에 실행할 필요가 있다.

Claims (44)

  1. 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법으로서,
    상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하는 데이터 입력 단계;
    상기 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하는 단계;
    제1 원리 시뮬레이션 결과를 제공하기 위해 상기 입력 데이터와 상기 제1 원리 물리적 모델을 사용하여 상기 제1 원리 시뮬레이션을 수행하는 단계; 및
    상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용하는 단계
    를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  2. 제1항에 있어서, 상기 데이터 입력 단계는 상기 반도체 프로세싱 툴에 물리적으로 장착된 물리적 센서와 계량 툴 중 하나 이상으로부터 나온, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 직접 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  3. 제1항에 있어서, 상기 데이터 입력 단계는 수동 입력 장치와 데이터베이스 중 하나 이상으로부터 나온, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 간접적으로 입력하는 간접 입력 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  4. 제3항에 있어서, 상기 간접 입력 단계는 상기 반도체 프로세싱 툴에 의해 미리 수행되는 프로세스로부터 기록된 데이터를 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  5. 제3항에 있어서, 상기 간접 입력 단계는 시뮬레이션 작업자에 의해 설정된 데이터 세트를 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  6. 제1항에 있어서, 상기 데이터 입력 단계는 상기 반도체 프로세싱 툴의 물리적 특성과 반도체 툴 환경 중 하나 이상에 관한 데이터를 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  7. 제1항에 있어서, 상기 데이터 입력 단계는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스의 특징과 결과 중 하나 이상에 관한 데이터를 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  8. 제1항에 있어서, 상기 제1 원리 물리적 모델을 입력하는 단계는 반도체 프로세싱 툴의 기하학적 형상에 대해 공간적으로 해석된 모델을 입력하는 단계를 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 방법.
  9. 제1항에 있어서, 상기 제1 원리 물리적 모델의 입력 단계는 원하는 시뮬레이션 결과를 위해 상기 제1 원리 시뮬레이션을 수행하는데 필요한 기본 방정식을 입력하는 단계를 포함하는 것인 방법.
  10. 제1항에 있어서, 상기 제1 원리 시뮬레이션을 수행하는 단계는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스와 동시에 상기 제1 원리 시뮬레이션을 수행하는 단계를 포함하는 것인 방법.
  11. 제1항에 있어서, 상기 제1 원리 시뮬레이션을 수행하는 단계는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스와 비동시적으로 상기 제1 원리 시뮬레이션을 수행하는 단계를 포함하는 것인 방법.
  12. 제1항에 있어서, 상기 제1 원리 시뮬레이션을 수행하는 단계는 상기 제1 원리 시뮬레이션 모델의 경계 조건을 설정하는 입력 데이터를 사용하는 단계를 포함하는 것인 방법.
  13. 제1항에 있어서, 상기 제1 원리 시뮬레이션을 수행하는 단계는 상기 제1 원리 시뮬레이션 모델의 초기 조건을 설정하는 입력 데이터를 사용하는 단계를 포함하는 것인 방법.
  14. 제1항에 있어서, 상기 프로세스 단계들 중 적어도 하나를 수행하기 위해 상호 연결된 리소스의 네트워크를 사용하는 단계를 더 포함하는 것인 방법.
  15. 제14항에 있어서, 상기 제1 원리 시뮬레이션의 연산 로드를 공유하기 위해 상호 연결된 연산 리소스들 중 코드 병렬화를 사용하는 단계를 더 포함하는 것인 방법.
  16. 제14항에 있어서, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 상호 연결된 리소스들 사이에서 시뮬레이션 정보를 공유하는 단계를 더 포함하는 것인 방법.
  17. 제16항에 있어서, 상기 시뮬레이션 정보를 공유하는 단계는 상이한 리소스들에 의한 실질적으로 유사한 제1 원리 시뮬레이션의 중복적인 실행을 줄이기 위해 상호 연결된 리소스들 사이에서 시뮬레이션 결과를 분배하는 단계를 포함하는 것인 방법.
  18. 제16항에 있어서, 상기 시뮬레이션 정보를 공유하는 단계는 상이한 리소스들에 의한 제1 원리 시뮬레이션의 중복적인 개선(refinement)을 줄이기 위해 상기 상호 연결된 리소스들 사이에서 모델 변화를 분배하는 단계를 포함하는 것인 방법.
  19. 제14항에 있어서, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 광역 통신망을 매개로 원격 리소스를 사용하는 단계를 더 포함하는 것인 방법.
  20. 제19항에 있어서, 상기 원격 리소스를 사용하는 단계는 상기 반도체 프로세싱 툴에 의해 수행되는 반도체 프로세스를 용이하게 하기 위해 광역 통신망을 매개로 원격 연산 리소스 및 저장 리소스 중 하나 이상을 사용하는 단계를 포함하는 것인 방법.
  21. 프로세스를 수행하도록 구성된 반도체 프로세싱 툴;
    상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하도록 구성된 입력 장치; 및
    상기 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하고 제1 원리 시뮬레이션 결과를 제공하기 위해 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하도록 구성된 제1 원리 시뮬레이션 프로세서
    를 포함하며, 상기 제1 원리 시뮬레이션 결과는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 해주도록 사용되는 것인 시스템.
  22. 제21항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 툴에 물리적으로 장착된 하나 이상의 물리적 센서와 계량 툴을 포함하는 것인 시스템.
  23. 제21항에 있어서, 상기 입력 장치는 하나 이상의 수동 입력 장치와 데이터베이스를 포함하는 것인 시스템.
  24. 제23항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 툴에 의해 미리 수행되는 프로세스로부터 기록된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  25. 제23항에 있어서, 상기 입력 장치는 시뮬레이션 작업자에 의해 설정된 데이터를 입력하도록 구성되어 있는 것인 시스템.
  26. 제21항에 있어서, 상기 입력 장치는 반도체 프로세싱 툴의 물리적 특성과 반도체 툴 환경 중 하나 이상에 관한 데이터를 입력하도록 구성되어 있는 것인 시스템.
  27. 제21항에 있어서, 상기 입력 장치는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스의 특성과 결과 중 하나 이상에 관한 데이터를 입력하도록 구성된 것인 시스템.
  28. 제21항에 있어서, 상기 프로세서는 상기 반도체 프로세싱 툴의 기하학적 형상에 대해 공간적으로 해석된 모델을 포함하는 제1 원리 물리적 모델을 입력하도록 구성되어 있는 것인 시스템.
  29. 제21항에 있어서, 상기 프로세서는 원하는 시뮬레이션 결과를 위한 제1 원리 시뮬레이션을 수행하는데 필요한 기본 방정식을 포함하는 제1 원리 물리적 모델을 입력하도록 구성되어 있는 것인 시스템.
  30. 제21항에 있어서, 상기 프로세서는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스와 동시에 제1 원리 시뮬레이션을 수행하도록 구성되어 있는 것인 시스템.
  31. 제21항에 있어서, 상기 프로세서는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스와 비동시적으로 제1 원리 시뮬레이션을 수행하도록 구성되어 있는 것인 시스템.
  32. 제21항에 있어서, 상기 프로세서는 제1 원리 시뮬레이션 모델의 경계 조건을 설정하는 입력 데이터를 사용함으로써 적어도 상기 제1 원리 시뮬레이션을 수행하 도록 구성되어 있는 것인 시스템.
  33. 제21항에 있어서, 상기 프로세서는 제1 원리 시뮬레이션 모델의 초기 조건을 설정하는 입력 데이터를 사용함으로써 적어도 상기 제1 원리 시뮬레이션을 수행하도록 구성되어 있는 것인 시스템.
  34. 제21항에 있어서, 상기 제1 원리 시뮬레이션 모델을 입력하는 단계와 상기 제1 원리 시뮬레이션을 수행하는 단계 중 하나 이상을 상기 프로세서가 수행하도록 보조하고 상기 프로세서에 접속되는 상호 연결된 리소스의 네트워크를 더 포함하는 것인 시스템.
  35. 제34항에 있어서, 상기 상호 연결된 리소스의 네트워크는 제1 원리 시뮬레이션 연산 로드를 공유하기 위해 상기 프로세서와의 코드 병렬화를 사용하도록 구성되어 있는 것인 시스템.
  36. 제34항에 있어서, 상기 상호 연결된 리소스의 네트워크는 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 상기 프로세서와 시뮬레이션 정보를 공유하도록 구성되어 있는 것인 시스템.
  37. 제36항에 있어서, 상기 상호 연결된 리소스의 네트워크는 실질적으로 유사한 제1 원리 시뮬레이션의 중복 개선을 줄이기 위해 상기 프로세서에 시뮬레이션 결과를 분배하도록 구성되어 있는 것인 시스템.
  38. 제36항에 있어서, 상기 상호 연결된 리소스의 네트워크는 제1 원리 시뮬레이션의 중복 실행을 줄이기 위해 상기 프로세서에 모델 변화를 분배하도록 구성되어 있는 것인 시스템.
  39. 제34항에 있어서, 광역 통신망을 매개로 원격 리소스에 접속되고, 상기 반도체 프로세싱 툴에 의해 수행되는 반도체 프로세스를 용이하게 하도록 구성된 원격 리소스를 더 포함하는 것인 시스템.
  40. 제39항에 있어서, 상기 원격 리소스는 연산 리소스 및 저장 리소스 중 하나 이상을 포함하는 것인 시스템.
  41. 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 시스템으로서,
    상기 반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하기 위한 수단;
    상기 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하기 위한 수단;
    제1 원리 시뮬레이션 결과를 제공하기 위해 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하기 위한 수단; 및
    상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용하기 위한 수단
    을 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 시스템.
  42. 제41항에 있어서, 상기 제1 원리 시뮬레이션의 연산 로드를 공유하기 위한 수단을 더 포함하는 것인 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하는 시스템.
  43. 제41항에 있어서, 상기 반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 상호 연결된 리소스들 사이에서 시뮬레이션 정보를 공유하기 위한 수단을 더 포함하는 것인 시스템.
  44. 프로세서 실행을 위한 프로그램 명령을 담고 있는 컴퓨터 판독 가능한 매체로서,
    상기 매체가 프로세서가 컴퓨터 시스템에 의해 실행될 때, 상기 프로세서가
    반도체 프로세싱 툴에 의해 수행되는 프로세스에 관한 데이터를 입력하는 단계;
    상기 반도체 프로세싱 툴에 관한 제1 원리 물리적 모델을 입력하는 단계;
    제1 원리 시뮬레이션 결과를 제공하기 위해 입력 데이터와 물리적 모델을 사용하여 제1 원리 시뮬레이션을 수행하는 단계; 및
    반도체 프로세싱 툴에 의해 수행되는 프로세스를 용이하게 하기 위해 제1 원리 시뮬레이션 결과를 사용하는 단계
    를 수행하도록 해주는 것인 컴퓨터 판독 가능한 매체.
KR1020057024760A 2003-09-30 2004-06-16 반도체 제조 프로세스를 용이하게 하는 제1 원리 시뮬레이션의 사용 시스템, 방법, 및 컴퓨터 판독 가능한 매체 KR101054710B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/673,138 2003-09-30
US10/673,138 US8032348B2 (en) 2003-09-30 2003-09-30 System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
PCT/US2004/016618 WO2005036591A2 (en) 2003-09-30 2004-06-16 System and method for using first-principles simulation to facilitate a semiconductor manufacturing process

Publications (2)

Publication Number Publication Date
KR20060062034A true KR20060062034A (ko) 2006-06-09
KR101054710B1 KR101054710B1 (ko) 2011-08-05

Family

ID=34376553

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057024760A KR101054710B1 (ko) 2003-09-30 2004-06-16 반도체 제조 프로세스를 용이하게 하는 제1 원리 시뮬레이션의 사용 시스템, 방법, 및 컴퓨터 판독 가능한 매체

Country Status (7)

Country Link
US (1) US8032348B2 (ko)
EP (1) EP1668554A4 (ko)
JP (1) JP4740142B2 (ko)
KR (1) KR101054710B1 (ko)
CN (1) CN100568249C (ko)
TW (1) TW200515256A (ko)
WO (1) WO2005036591A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160047511A (ko) * 2013-08-23 2016-05-02 케이엘에이-텐코 코포레이션 다중-모델 계측
KR20160085395A (ko) 2015-01-07 2016-07-18 서울시립대학교 산학협력단 최악의 경우를 샘플링하는 sram 성능 마진 평가 장치 및 방법

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8050900B2 (en) * 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
DE102004020466A1 (de) * 2004-04-26 2005-11-17 Applied Films Gmbh & Co. Kg Verfahren zum Beschichten von Substraten in Inline-Anlagen
US7729789B2 (en) * 2004-05-04 2010-06-01 Fisher-Rosemount Systems, Inc. Process plant monitoring based on multivariate statistical analysis and on-line process simulation
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US8676538B2 (en) * 2004-11-02 2014-03-18 Advanced Micro Devices, Inc. Adjusting weighting of a parameter relating to fault detection based on a detected fault
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US8322616B2 (en) * 2006-10-06 2012-12-04 Nikon Precision Inc. Automated signature detection system and method of use
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
JP5465954B2 (ja) * 2008-09-29 2014-04-09 株式会社日立国際電気 基板処理装置及び判断プログラムを格納する記憶媒体及び基板処理装置の表示方法
US8473089B2 (en) * 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8538572B2 (en) * 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) * 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
TWI427722B (zh) * 2010-08-02 2014-02-21 Univ Nat Cheng Kung 使用具有信心指標之虛擬量測的先進製程控制系統與方法及其電腦程式產品
US8539404B2 (en) 2011-09-23 2013-09-17 International Business Machines Corporation Functional simulation redundancy reduction by state comparison and pruning
US8887106B2 (en) * 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US9400495B2 (en) * 2012-10-16 2016-07-26 Rockwell Automation Technologies, Inc. Industrial automation equipment and machine procedure simulation
EP2784704A1 (en) * 2013-03-26 2014-10-01 Fujitsu Limited Multi-component computational fluid dynamics simulations
CN104700070B (zh) * 2015-01-13 2017-09-12 深圳市汇顶科技股份有限公司 指纹传感器及其校正方法
CN107798204B (zh) * 2017-12-08 2018-10-26 山东大学 一种复杂型面工件切向渐变热喷涂涂层设计方法
CN109814535A (zh) * 2019-02-20 2019-05-28 上海奕信半导体设备有限公司 基于维纳模型辨识方法的扩散炉在线诊断方法
CN113574474A (zh) * 2019-03-15 2021-10-29 3M创新有限公司 使用因果模型抛光半导体晶圆
CN110262879B (zh) * 2019-05-17 2021-08-20 杭州电子科技大学 一种基于平衡探索与利用的蒙特卡洛树搜索方法
US11061321B1 (en) 2019-06-24 2021-07-13 Synopsys, Inc. Obtaining a mask using a cost function gradient from a Jacobian matrix generated from a perturbation look-up table
JP7325356B2 (ja) * 2020-02-20 2023-08-14 東京エレクトロン株式会社 情報処理システム及びシミュレーション方法
US20230297740A1 (en) * 2022-03-15 2023-09-21 Applied Materials, Inc. Uniform radiation heating control architecture

Family Cites Families (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5377116A (en) 1991-07-01 1994-12-27 Valenite Inc. Method and system for designing a cutting tool
JP3001351B2 (ja) 1993-06-24 2000-01-24 日本電気株式会社 シミュレーション方法
US5474381A (en) 1993-11-30 1995-12-12 Texas Instruments Incorporated Method for real-time semiconductor wafer temperature measurement based on a surface roughness characteristic of the wafer
US5526293A (en) * 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
JP3402412B2 (ja) * 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5555474A (en) 1994-12-21 1996-09-10 Integrated Process Equipment Corp. Automatic rejection of diffraction effects in thin film metrology
US5583780A (en) * 1994-12-30 1996-12-10 Kee; Robert J. Method and device for predicting wavelength dependent radiation influences in thermal systems
US5539652A (en) 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
JPH0962718A (ja) * 1995-08-23 1997-03-07 Sony Corp シミュレーション装置およびシミュレーション方法
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
JP3593770B2 (ja) * 1995-12-14 2004-11-24 ソニー株式会社 半導体プロセスシミュレータ
US6185472B1 (en) 1995-12-28 2001-02-06 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing apparatus, simulation method and simulator
JPH09198368A (ja) * 1996-01-16 1997-07-31 Matsushita Electric Ind Co Ltd シミュレーション装置及びその方法
JPH09320917A (ja) * 1996-05-30 1997-12-12 Matsushita Electric Ind Co Ltd 半導体のシミュレーション装置及びその方法
US6628809B1 (en) * 1999-10-08 2003-09-30 Lumidigm, Inc. Apparatus and method for identification of individuals by near-infrared spectrum
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
JPH11176906A (ja) 1997-12-16 1999-07-02 Toshiba Corp 電子部品の製造方法、製造システム、設計方法、及び記録媒体
JPH11238655A (ja) * 1998-02-20 1999-08-31 Ricoh Co Ltd 半導体プロセス決定支援装置
US6161051A (en) 1998-05-08 2000-12-12 Rockwell Technologies, Llc System, method and article of manufacture for utilizing external models for enterprise wide control
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
JPH11330449A (ja) * 1998-05-20 1999-11-30 Toshiba Corp 半導体装置の製造方法、シミュレーション装置、シミュレーション方法、シミュレーションプログラムを記録した記録媒体、及びシミュレーション用データを記録した記録媒体
JP3660137B2 (ja) * 1998-09-25 2005-06-15 株式会社東芝 シミュレーション方法、シミュレータ、シミュレーションプログラムを記録した記録媒体および半導体装置の製造方法
US6198980B1 (en) * 1998-11-06 2001-03-06 John Costanza Institute Of Technology System and method for designing a mixed-model manufacturing process
JP2000269105A (ja) * 1999-03-12 2000-09-29 Toshiba Corp プロセスシミュレータ、プロセスシミュレーション方法、デバイスシミュレータおよびデバイスシミュレーション方法
US6581029B1 (en) 1999-05-11 2003-06-17 International Business Machines Corporation Method and system for optimizing execution of a collection of related module sequences by eliminating redundant modules
US6360133B1 (en) 1999-06-17 2002-03-19 Advanced Micro Devices, Inc. Method and apparatus for automatic routing for reentrant process
KR100649387B1 (ko) * 1999-06-22 2006-11-27 브룩스 오토메이션 인코퍼레이티드 초소형전자 제조에 사용하기 위한 공정수행 간 제어기
US6560503B1 (en) * 1999-10-05 2003-05-06 Advanced Micro Devices, Inc. Method and apparatus for monitoring controller performance using statistical process control
US6643616B1 (en) * 1999-12-07 2003-11-04 Yuri Granik Integrated device structure prediction based on model curvature
WO2001077979A1 (en) * 2000-04-12 2001-10-18 Janssen Pharmaceutica N.V. Method and apparatus for detecting outliers in biological/pharmaceutical screening experiments
JP2001297955A (ja) 2000-04-14 2001-10-26 Toshiba Corp シミュレーション方法、シミュレータ及びシミュレーションプログラムを記録した記録媒体
JP2002023823A (ja) 2000-07-12 2002-01-25 Mitsubishi Electric Corp 生産管理システム
US6410351B1 (en) 2000-07-13 2002-06-25 Advanced Micro Devices, Inc. Method and apparatus for modeling thickness profiles and controlling subsequent etch process
CN1154045C (zh) 2000-07-25 2004-06-16 华为技术有限公司 一种跨平台的联合仿真系统
US6812045B1 (en) * 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6571371B1 (en) * 2000-12-27 2003-05-27 Advanced Micro Devices, Inc. Method and apparatus for using latency time as a run-to-run control parameter
KR100847368B1 (ko) 2001-02-14 2008-07-21 어드밴스드 마이크로 디바이시즈, 인코포레이티드 식각 선택도를 제어하기 위한 방법 및 장치
US6615098B1 (en) 2001-02-21 2003-09-02 Advanced Micro Devices, Inc. Method and apparatus for controlling a tool using a baseline control script
US7297287B2 (en) * 2001-03-23 2007-11-20 Tokyo Electron Limited Method and apparatus for endpoint detection using partial least squares
JP3993396B2 (ja) 2001-03-30 2007-10-17 株式会社東芝 半導体装置の製造方法
US6802045B1 (en) * 2001-04-19 2004-10-05 Advanced Micro Devices, Inc. Method and apparatus for incorporating control simulation environment
JP2002367875A (ja) 2001-06-07 2002-12-20 Matsushita Electric Ind Co Ltd プロセス工程管理システムおよびプロセス工程管理方法
JP3708031B2 (ja) 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6763277B1 (en) * 2001-07-16 2004-07-13 Advanced Micro Devices, Inc. Method and apparatus for proactive dispatch system to improve line balancing
US7337019B2 (en) 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
US20030101251A1 (en) * 2001-11-27 2003-05-29 Varros Telecom Customizable element management system and method using element modeling and protocol adapters
JP2003197493A (ja) * 2001-12-25 2003-07-11 Toshiba Corp デバイス開発支援シミュレーション方法及びその装置
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
JP4576513B2 (ja) * 2001-12-28 2010-11-10 エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド 部分モデルを自動的に画定するための方法
JP4659359B2 (ja) 2001-12-31 2011-03-30 東京エレクトロン株式会社 材料処理の方法および材料処理のためのシステム
WO2003060779A1 (en) 2002-01-10 2003-07-24 Advanced Micro Devices, Inc. Agent-based control architecture
US6678581B2 (en) * 2002-01-14 2004-01-13 Taiwan Semiconductor Manufacturing Co. Ltd Method of calibrating a wafer edge gripping end effector
JP2003272981A (ja) * 2002-03-19 2003-09-26 Sony Corp 半導体シミュレーション装置および方法
US6905895B1 (en) * 2002-06-28 2005-06-14 Advanced Micro Devices, Inc. Predicting process excursions based upon tool state variables
JP2004094738A (ja) 2002-09-02 2004-03-25 Toshiba Corp 分散型シミュレーションシステム
US7184850B1 (en) * 2002-09-06 2007-02-27 National Semiconductor Corporation System and method for allocating multi-function resources for a wetdeck process in semiconductor wafer fabrication
US6893800B2 (en) 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US6810296B2 (en) * 2002-09-25 2004-10-26 Advanced Micro Devices, Inc. Correlating an inline parameter to a device operation parameter
US7752099B2 (en) * 2002-10-17 2010-07-06 Itg Software Solutions, Inc. Factor risk model based system, method, and computer program product for generating risk forecasts
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
US7047095B2 (en) 2002-12-06 2006-05-16 Tokyo Electron Limited Process control system and process control method
US7333871B2 (en) * 2003-01-21 2008-02-19 Applied Materials, Inc. Automated design and execution of experiments with integrated model creation for semiconductor manufacturing tools
US20050010319A1 (en) * 2003-07-09 2005-01-13 Sukesh Patel System and method for validating and visualizing APC assisted semiconductor manufacturing processes
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8073667B2 (en) 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8050900B2 (en) 2003-09-30 2011-11-01 Tokyo Electron Limited System and method for using first-principles simulation to provide virtual sensors that facilitate a semiconductor manufacturing process
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US7356377B2 (en) * 2004-01-29 2008-04-08 Applied Materials, Inc. System, method, and medium for monitoring performance of an advanced process control system
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160047511A (ko) * 2013-08-23 2016-05-02 케이엘에이-텐코 코포레이션 다중-모델 계측
KR20160085395A (ko) 2015-01-07 2016-07-18 서울시립대학교 산학협력단 최악의 경우를 샘플링하는 sram 성능 마진 평가 장치 및 방법

Also Published As

Publication number Publication date
EP1668554A2 (en) 2006-06-14
US8032348B2 (en) 2011-10-04
EP1668554A4 (en) 2009-11-04
CN1799054A (zh) 2006-07-05
US20050071034A1 (en) 2005-03-31
WO2005036591A2 (en) 2005-04-21
KR101054710B1 (ko) 2011-08-05
TW200515256A (en) 2005-05-01
JP2007510287A (ja) 2007-04-19
WO2005036591A3 (en) 2005-11-10
CN100568249C (zh) 2009-12-09
JP4740142B2 (ja) 2011-08-03

Similar Documents

Publication Publication Date Title
KR101054710B1 (ko) 반도체 제조 프로세스를 용이하게 하는 제1 원리 시뮬레이션의 사용 시스템, 방법, 및 컴퓨터 판독 가능한 매체
US8296687B2 (en) System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8014991B2 (en) System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8036869B2 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8073667B2 (en) System and method for using first-principles simulation to control a semiconductor manufacturing process
US20230049157A1 (en) Performance predictors for semiconductor-manufacturing processes
JP7137943B2 (ja) 探索装置、探索方法及びプラズマ処理装置
JP2006501684A (ja) プラズマプロセスのデータを解析するための方法とシステム
US6961636B1 (en) Method and apparatus for dynamically monitoring controller tuning parameters
Ikuhara et al. High-accuracy etching system with active APC capability

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140721

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150716

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee