KR20050084638A - 기체 층 형성 재료 - Google Patents
기체 층 형성 재료 Download PDFInfo
- Publication number
- KR20050084638A KR20050084638A KR1020057007807A KR20057007807A KR20050084638A KR 20050084638 A KR20050084638 A KR 20050084638A KR 1020057007807 A KR1020057007807 A KR 1020057007807A KR 20057007807 A KR20057007807 A KR 20057007807A KR 20050084638 A KR20050084638 A KR 20050084638A
- Authority
- KR
- South Korea
- Prior art keywords
- polymer
- acenaphthylene
- layer
- copolymer
- thermally decomposable
- Prior art date
Links
- 239000000463 material Substances 0.000 title claims abstract description 73
- 230000015572 biosynthetic process Effects 0.000 title abstract description 3
- 229920000642 polymer Polymers 0.000 claims abstract description 139
- 229920001577 copolymer Polymers 0.000 claims abstract description 63
- HXGDTGSAIMULJN-UHFFFAOYSA-N acetnaphthylene Natural products C1=CC(C=C2)=C3C2=CC=CC3=C1 HXGDTGSAIMULJN-UHFFFAOYSA-N 0.000 claims abstract description 53
- 125000004054 acenaphthylenyl group Chemical group C1(=CC2=CC=CC3=CC=CC1=C23)* 0.000 claims abstract description 42
- -1 poly(arylene ether Chemical compound 0.000 claims abstract description 29
- 229920001519 homopolymer Polymers 0.000 claims abstract description 27
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 claims abstract description 20
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 claims abstract description 18
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 claims abstract description 10
- NIXOWILDQLNWCW-UHFFFAOYSA-M Acrylate Chemical compound [O-]C(=O)C=C NIXOWILDQLNWCW-UHFFFAOYSA-M 0.000 claims abstract description 9
- PEEHTFAAVSWFBL-UHFFFAOYSA-N Maleimide Chemical compound O=C1NC(=O)C=C1 PEEHTFAAVSWFBL-UHFFFAOYSA-N 0.000 claims abstract description 9
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 claims abstract description 9
- CHRJZRDFSQHIFI-UHFFFAOYSA-N 1,2-bis(ethenyl)benzene;styrene Chemical compound C=CC1=CC=CC=C1.C=CC1=CC=CC=C1C=C CHRJZRDFSQHIFI-UHFFFAOYSA-N 0.000 claims abstract description 8
- 239000004952 Polyamide Substances 0.000 claims abstract description 8
- 229920002647 polyamide Polymers 0.000 claims abstract description 8
- 238000000034 method Methods 0.000 claims description 92
- 239000000203 mixture Substances 0.000 claims description 70
- 239000007789 gas Substances 0.000 claims description 41
- 239000000758 substrate Substances 0.000 claims description 30
- 230000004580 weight loss Effects 0.000 claims description 28
- 229920000636 poly(norbornene) polymer Chemical class 0.000 claims description 26
- 239000002318 adhesion promoter Substances 0.000 claims description 24
- 230000004888 barrier function Effects 0.000 claims description 18
- 125000000217 alkyl group Chemical group 0.000 claims description 14
- 238000005229 chemical vapour deposition Methods 0.000 claims description 14
- 230000005855 radiation Effects 0.000 claims description 14
- 238000000354 decomposition reaction Methods 0.000 claims description 13
- 230000009477 glass transition Effects 0.000 claims description 13
- 125000003545 alkoxy group Chemical group 0.000 claims description 11
- 125000003118 aryl group Chemical group 0.000 claims description 11
- 238000010438 heat treatment Methods 0.000 claims description 9
- 229910052739 hydrogen Inorganic materials 0.000 claims description 9
- 229910052751 metal Inorganic materials 0.000 claims description 9
- 239000002184 metal Substances 0.000 claims description 9
- JFNLZVQOOSMTJK-KNVOCYPGSA-N norbornene Chemical class C1[C@@H]2CC[C@H]1C=C2 JFNLZVQOOSMTJK-KNVOCYPGSA-N 0.000 claims description 9
- XQUPVDVFXZDTLT-UHFFFAOYSA-N 1-[4-[[4-(2,5-dioxopyrrol-1-yl)phenyl]methyl]phenyl]pyrrole-2,5-dione Chemical compound O=C1C=CC(=O)N1C(C=C1)=CC=C1CC1=CC=C(N2C(C=CC2=O)=O)C=C1 XQUPVDVFXZDTLT-UHFFFAOYSA-N 0.000 claims description 8
- 125000004104 aryloxy group Chemical group 0.000 claims description 8
- 229920003192 poly(bis maleimide) Polymers 0.000 claims description 8
- 239000001257 hydrogen Substances 0.000 claims description 6
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 claims description 6
- 238000004377 microelectronic Methods 0.000 claims description 6
- 125000005375 organosiloxane group Chemical group 0.000 claims description 6
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 claims description 6
- 238000004519 manufacturing process Methods 0.000 claims description 5
- 150000004756 silanes Chemical class 0.000 claims description 5
- 125000003668 acetyloxy group Chemical group [H]C([H])([H])C(=O)O[*] 0.000 claims description 4
- 229910052801 chlorine Inorganic materials 0.000 claims description 4
- ZFDLFHQAGYPRBY-UHFFFAOYSA-N chloro acetate Chemical group CC(=O)OCl ZFDLFHQAGYPRBY-UHFFFAOYSA-N 0.000 claims description 4
- 125000001309 chloro group Chemical group Cl* 0.000 claims description 4
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 claims description 4
- 238000010894 electron beam technology Methods 0.000 claims description 4
- NOKUWSXLHXMAOM-UHFFFAOYSA-N hydroxy(phenyl)silicon Chemical class O[Si]C1=CC=CC=C1 NOKUWSXLHXMAOM-UHFFFAOYSA-N 0.000 claims description 4
- 239000002243 precursor Substances 0.000 claims description 4
- 150000002431 hydrogen Chemical class 0.000 claims 3
- 238000010884 ion-beam technique Methods 0.000 claims 2
- 239000010410 layer Substances 0.000 description 114
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 60
- CTQNGGLPUBDAKN-UHFFFAOYSA-N O-Xylene Chemical compound CC1=CC=CC=C1C CTQNGGLPUBDAKN-UHFFFAOYSA-N 0.000 description 36
- 239000008096 xylene Substances 0.000 description 34
- OZAIFHULBGXAKX-UHFFFAOYSA-N 2-(2-cyanopropan-2-yldiazenyl)-2-methylpropanenitrile Chemical compound N#CC(C)(C)N=NC(C)(C)C#N OZAIFHULBGXAKX-UHFFFAOYSA-N 0.000 description 33
- 229910052757 nitrogen Inorganic materials 0.000 description 31
- 239000010408 film Substances 0.000 description 29
- YMWUJEATGCHHMB-UHFFFAOYSA-N Dichloromethane Chemical compound ClCCl YMWUJEATGCHHMB-UHFFFAOYSA-N 0.000 description 26
- 235000012431 wafers Nutrition 0.000 description 22
- OZAIFHULBGXAKX-VAWYXSNFSA-N AIBN Substances N#CC(C)(C)\N=N\C(C)(C)C#N OZAIFHULBGXAKX-VAWYXSNFSA-N 0.000 description 21
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 21
- 229910052802 copper Inorganic materials 0.000 description 21
- 239000010949 copper Substances 0.000 description 21
- 229920003257 polycarbosilane Polymers 0.000 description 21
- 239000000523 sample Substances 0.000 description 18
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 17
- 239000012456 homogeneous solution Substances 0.000 description 17
- 239000003999 initiator Substances 0.000 description 17
- 239000006117 anti-reflective coating Substances 0.000 description 16
- 238000001723 curing Methods 0.000 description 16
- 239000000243 solution Substances 0.000 description 16
- 238000001914 filtration Methods 0.000 description 14
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 14
- 239000011229 interlayer Substances 0.000 description 14
- 229920002120 photoresistant polymer Polymers 0.000 description 14
- 239000002244 precipitate Substances 0.000 description 14
- 239000003989 dielectric material Substances 0.000 description 13
- 101001128138 Homo sapiens NACHT, LRR and PYD domains-containing protein 2 Proteins 0.000 description 12
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 12
- 102100031897 NACHT, LRR and PYD domains-containing protein 2 Human genes 0.000 description 12
- 238000013461 design Methods 0.000 description 12
- 239000011148 porous material Substances 0.000 description 12
- 239000004065 semiconductor Substances 0.000 description 12
- 229910004298 SiO 2 Inorganic materials 0.000 description 11
- 238000005259 measurement Methods 0.000 description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical class O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 10
- 125000000524 functional group Chemical group 0.000 description 10
- 230000010354 integration Effects 0.000 description 10
- 238000002360 preparation method Methods 0.000 description 10
- 230000008569 process Effects 0.000 description 10
- 239000011541 reaction mixture Substances 0.000 description 10
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 9
- 239000000178 monomer Substances 0.000 description 9
- 229910052710 silicon Inorganic materials 0.000 description 9
- 239000010703 silicon Substances 0.000 description 9
- 239000000126 substance Substances 0.000 description 9
- JHIVVAPYMSGYDF-UHFFFAOYSA-N cyclohexanone Chemical compound O=C1CCCCC1 JHIVVAPYMSGYDF-UHFFFAOYSA-N 0.000 description 8
- ZUOUZKKEUPVFJK-UHFFFAOYSA-N diphenyl Chemical compound C1=CC=CC=C1C1=CC=CC=C1 ZUOUZKKEUPVFJK-UHFFFAOYSA-N 0.000 description 8
- 239000004810 polytetrafluoroethylene Substances 0.000 description 8
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 8
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 8
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 7
- 229910015900 BF3 Inorganic materials 0.000 description 7
- 101001128135 Homo sapiens NACHT, LRR and PYD domains-containing protein 4 Proteins 0.000 description 7
- 101000982939 Homo sapiens PAN2-PAN3 deadenylation complex catalytic subunit PAN2 Proteins 0.000 description 7
- 101000742934 Homo sapiens Retinol dehydrogenase 14 Proteins 0.000 description 7
- 102100031898 NACHT, LRR and PYD domains-containing protein 4 Human genes 0.000 description 7
- XTXRWKRVRITETP-UHFFFAOYSA-N Vinyl acetate Chemical compound CC(=O)OC=C XTXRWKRVRITETP-UHFFFAOYSA-N 0.000 description 7
- YCUBDDIKWLELPD-UHFFFAOYSA-N ethenyl 2,2-dimethylpropanoate Chemical compound CC(C)(C)C(=O)OC=C YCUBDDIKWLELPD-UHFFFAOYSA-N 0.000 description 7
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 7
- 239000011368 organic material Substances 0.000 description 7
- 238000012545 processing Methods 0.000 description 7
- QKSQWQOAUQFORH-UHFFFAOYSA-N tert-butyl n-[(2-methylpropan-2-yl)oxycarbonylimino]carbamate Chemical compound CC(C)(C)OC(=O)N=NC(=O)OC(C)(C)C QKSQWQOAUQFORH-UHFFFAOYSA-N 0.000 description 7
- 229920002554 vinyl polymer Polymers 0.000 description 7
- YBYIRNPNPLQARY-UHFFFAOYSA-N 1H-indene Chemical compound C1=CC=C2CC=CC2=C1 YBYIRNPNPLQARY-UHFFFAOYSA-N 0.000 description 6
- KZMGYPLQYOPHEL-UHFFFAOYSA-N Boron trifluoride etherate Chemical compound FB(F)F.CCOCC KZMGYPLQYOPHEL-UHFFFAOYSA-N 0.000 description 6
- 238000004458 analytical method Methods 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 6
- 230000006378 damage Effects 0.000 description 6
- 238000000151 deposition Methods 0.000 description 6
- 238000000113 differential scanning calorimetry Methods 0.000 description 6
- 239000011810 insulating material Substances 0.000 description 6
- 150000003254 radicals Chemical class 0.000 description 6
- 125000002947 alkylene group Chemical group 0.000 description 5
- 230000003667 anti-reflective effect Effects 0.000 description 5
- 239000012298 atmosphere Substances 0.000 description 5
- 239000011521 glass Substances 0.000 description 5
- 229910010272 inorganic material Inorganic materials 0.000 description 5
- 239000011147 inorganic material Substances 0.000 description 5
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- 229920003023 plastic Polymers 0.000 description 5
- 239000004033 plastic Substances 0.000 description 5
- 229920000673 poly(carbodihydridosilane) Polymers 0.000 description 5
- 238000000197 pyrolysis Methods 0.000 description 5
- 238000001179 sorption measurement Methods 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- ISXSCDLOGDJUNJ-UHFFFAOYSA-N tert-butyl prop-2-enoate Chemical compound CC(C)(C)OC(=O)C=C ISXSCDLOGDJUNJ-UHFFFAOYSA-N 0.000 description 5
- 239000010409 thin film Substances 0.000 description 5
- VYXHVRARDIDEHS-UHFFFAOYSA-N 1,5-cyclooctadiene Chemical compound C1CC=CCCC=C1 VYXHVRARDIDEHS-UHFFFAOYSA-N 0.000 description 4
- 239000004912 1,5-cyclooctadiene Substances 0.000 description 4
- PGNNHYNYFLXKDZ-UHFFFAOYSA-N 5-phenylbicyclo[2.2.1]hept-2-ene Chemical compound C1=CC2CC1CC2C1=CC=CC=C1 PGNNHYNYFLXKDZ-UHFFFAOYSA-N 0.000 description 4
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 4
- 239000004342 Benzoyl peroxide Substances 0.000 description 4
- OMPJBNCRMGITSC-UHFFFAOYSA-N Benzoylperoxide Chemical compound C=1C=CC=CC=1C(=O)OOC(=O)C1=CC=CC=C1 OMPJBNCRMGITSC-UHFFFAOYSA-N 0.000 description 4
- 101100030361 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) pph-3 gene Proteins 0.000 description 4
- JUJWROOIHBZHMG-UHFFFAOYSA-N Pyridine Chemical compound C1=CC=NC=C1 JUJWROOIHBZHMG-UHFFFAOYSA-N 0.000 description 4
- 239000000654 additive Substances 0.000 description 4
- 125000003342 alkenyl group Chemical group 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 235000019400 benzoyl peroxide Nutrition 0.000 description 4
- 239000004305 biphenyl Substances 0.000 description 4
- 235000010290 biphenyl Nutrition 0.000 description 4
- 229920001400 block copolymer Polymers 0.000 description 4
- 125000004432 carbon atom Chemical group C* 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 239000004020 conductor Substances 0.000 description 4
- 229920006237 degradable polymer Polymers 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- IVSZLXZYQVIEFR-UHFFFAOYSA-N m-xylene Chemical group CC1=CC=CC(C)=C1 IVSZLXZYQVIEFR-UHFFFAOYSA-N 0.000 description 4
- 239000011159 matrix material Substances 0.000 description 4
- 125000000962 organic group Chemical group 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 229920000548 poly(silane) polymer Polymers 0.000 description 4
- 229920005604 random copolymer Polymers 0.000 description 4
- 229920006395 saturated elastomer Polymers 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 229910010271 silicon carbide Inorganic materials 0.000 description 4
- 238000003756 stirring Methods 0.000 description 4
- 229920001187 thermosetting polymer Polymers 0.000 description 4
- INYHZQLKOKTDAI-UHFFFAOYSA-N 5-ethenylbicyclo[2.2.1]hept-2-ene Chemical compound C1C2C(C=C)CC1C=C2 INYHZQLKOKTDAI-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 239000004642 Polyimide Substances 0.000 description 3
- 230000000996 additive effect Effects 0.000 description 3
- 229910003481 amorphous carbon Inorganic materials 0.000 description 3
- UMIVXZPTRXBADB-UHFFFAOYSA-N benzocyclobutene Chemical compound C1=CC=C2CCC2=C1 UMIVXZPTRXBADB-UHFFFAOYSA-N 0.000 description 3
- 238000009529 body temperature measurement Methods 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 230000000052 comparative effect Effects 0.000 description 3
- 238000004132 cross linking Methods 0.000 description 3
- 125000002993 cycloalkylene group Chemical group 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 238000004806 packaging method and process Methods 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 229920002857 polybutadiene Polymers 0.000 description 3
- 229920001223 polyethylene glycol Polymers 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- 229920001451 polypropylene glycol Polymers 0.000 description 3
- 239000000047 product Substances 0.000 description 3
- 230000035484 reaction time Effects 0.000 description 3
- 125000004469 siloxy group Chemical group [SiH3]O* 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 239000007858 starting material Substances 0.000 description 3
- 238000011282 treatment Methods 0.000 description 3
- HIXDQWDOVZUNNA-UHFFFAOYSA-N 2-(3,4-dimethoxyphenyl)-5-hydroxy-7-methoxychromen-4-one Chemical compound C=1C(OC)=CC(O)=C(C(C=2)=O)C=1OC=2C1=CC=C(OC)C(OC)=C1 HIXDQWDOVZUNNA-UHFFFAOYSA-N 0.000 description 2
- GVNVAWHJIKLAGL-UHFFFAOYSA-N 2-(cyclohexen-1-yl)cyclohexan-1-one Chemical compound O=C1CCCCC1C1=CCCCC1 GVNVAWHJIKLAGL-UHFFFAOYSA-N 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical group [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 2
- LPLLVINFLBSFRP-UHFFFAOYSA-N 2-methylamino-1-phenylpropan-1-one Chemical compound CNC(C)C(=O)C1=CC=CC=C1 LPLLVINFLBSFRP-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 101150065749 Churc1 gene Proteins 0.000 description 2
- 241000132539 Cosmos Species 0.000 description 2
- 235000005956 Cosmos caudatus Nutrition 0.000 description 2
- 239000004593 Epoxy Substances 0.000 description 2
- 206010073306 Exposure to radiation Diseases 0.000 description 2
- 235000003332 Ilex aquifolium Nutrition 0.000 description 2
- 235000002296 Ilex sandwicensis Nutrition 0.000 description 2
- 235000002294 Ilex volkensiana Nutrition 0.000 description 2
- BAPJBEWLBFYGME-UHFFFAOYSA-N Methyl acrylate Chemical compound COC(=O)C=C BAPJBEWLBFYGME-UHFFFAOYSA-N 0.000 description 2
- LRHPLDYGYMQRHN-UHFFFAOYSA-N N-Butanol Chemical compound CCCCO LRHPLDYGYMQRHN-UHFFFAOYSA-N 0.000 description 2
- UFWIBTONFRDIAS-UHFFFAOYSA-N Naphthalene Chemical compound C1=CC=CC2=CC=CC=C21 UFWIBTONFRDIAS-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 239000005062 Polybutadiene Substances 0.000 description 2
- 239000002202 Polyethylene glycol Substances 0.000 description 2
- 102100038239 Protein Churchill Human genes 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- PJANXHGTPQOBST-VAWYXSNFSA-N Stilbene Natural products C=1C=CC=CC=1/C=C/C1=CC=CC=C1 PJANXHGTPQOBST-VAWYXSNFSA-N 0.000 description 2
- KYIKRXIYLAGAKQ-UHFFFAOYSA-N abcn Chemical compound C1CCCCC1(C#N)N=NC1(C#N)CCCCC1 KYIKRXIYLAGAKQ-UHFFFAOYSA-N 0.000 description 2
- XXROGKLTLUQVRX-UHFFFAOYSA-N allyl alcohol Chemical compound OCC=C XXROGKLTLUQVRX-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- IRJKSAIGIYODAN-ISLYRVAYSA-N benzyl (ne)-n-phenylmethoxycarbonyliminocarbamate Chemical compound C=1C=CC=CC=1COC(=O)/N=N/C(=O)OCC1=CC=CC=C1 IRJKSAIGIYODAN-ISLYRVAYSA-N 0.000 description 2
- 238000010538 cationic polymerization reaction Methods 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000003486 chemical etching Methods 0.000 description 2
- 238000012790 confirmation Methods 0.000 description 2
- 229920006037 cross link polymer Polymers 0.000 description 2
- PAFZNILMFXTMIY-UHFFFAOYSA-N cyclohexylamine Chemical compound NC1CCCCC1 PAFZNILMFXTMIY-UHFFFAOYSA-N 0.000 description 2
- 238000003795 desorption Methods 0.000 description 2
- 238000004147 desorption mass spectrometry Methods 0.000 description 2
- LSXWFXONGKSEMY-UHFFFAOYSA-N di-tert-butyl peroxide Chemical compound CC(C)(C)OOC(C)(C)C LSXWFXONGKSEMY-UHFFFAOYSA-N 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- FAMRKDQNMBBFBR-BQYQJAHWSA-N diethyl azodicarboxylate Substances CCOC(=O)\N=N\C(=O)OCC FAMRKDQNMBBFBR-BQYQJAHWSA-N 0.000 description 2
- GYZLOYUZLJXAJU-UHFFFAOYSA-N diglycidyl ether Chemical class C1OC1COCC1CO1 GYZLOYUZLJXAJU-UHFFFAOYSA-N 0.000 description 2
- 150000002009 diols Chemical class 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 125000005678 ethenylene group Chemical group [H]C([*:1])=C([H])[*:2] 0.000 description 2
- FAMRKDQNMBBFBR-UHFFFAOYSA-N ethyl n-ethoxycarbonyliminocarbamate Chemical compound CCOC(=O)N=NC(=O)OCC FAMRKDQNMBBFBR-UHFFFAOYSA-N 0.000 description 2
- 238000011049 filling Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000012634 fragment Substances 0.000 description 2
- 229910021485 fumed silica Inorganic materials 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000007791 liquid phase Substances 0.000 description 2
- 238000004949 mass spectrometry Methods 0.000 description 2
- 238000000691 measurement method Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 125000000325 methylidene group Chemical group [H]C([H])=* 0.000 description 2
- 125000004108 n-butyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 2
- 125000001280 n-hexyl group Chemical group C(CCCCC)* 0.000 description 2
- 239000012299 nitrogen atmosphere Substances 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000010412 perfusion Effects 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 229920001568 phenolic resin Polymers 0.000 description 2
- WDZOPGZTGVJDMZ-FOCLMDBBSA-N phenyl (ne)-n-phenoxycarbonyliminocarbamate Chemical compound C=1C=CC=CC=1OC(=O)/N=N/C(=O)OC1=CC=CC=C1 WDZOPGZTGVJDMZ-FOCLMDBBSA-N 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920000052 poly(p-xylylene) Polymers 0.000 description 2
- 229920000412 polyarylene Polymers 0.000 description 2
- 229920001610 polycaprolactone Polymers 0.000 description 2
- 239000004632 polycaprolactone Substances 0.000 description 2
- 239000004417 polycarbonate Substances 0.000 description 2
- 229920000515 polycarbonate Polymers 0.000 description 2
- 238000006116 polymerization reaction Methods 0.000 description 2
- 239000003361 porogen Substances 0.000 description 2
- 239000000843 powder Substances 0.000 description 2
- VVWRJUBEIPHGQF-UHFFFAOYSA-N propan-2-yl n-propan-2-yloxycarbonyliminocarbamate Chemical compound CC(C)OC(=O)N=NC(=O)OC(C)C VVWRJUBEIPHGQF-UHFFFAOYSA-N 0.000 description 2
- MWWATHDPGQKSAR-UHFFFAOYSA-N propyne Chemical group CC#C MWWATHDPGQKSAR-UHFFFAOYSA-N 0.000 description 2
- UMJSCPRVCHMLSP-UHFFFAOYSA-N pyridine Natural products COC1=CC=CN=C1 UMJSCPRVCHMLSP-UHFFFAOYSA-N 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 150000003376 silicon Chemical class 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000000638 solvent extraction Methods 0.000 description 2
- 235000021286 stilbenes Nutrition 0.000 description 2
- 238000004381 surface treatment Methods 0.000 description 2
- YZVRVDPMGYFCGL-UHFFFAOYSA-N triacetyloxysilyl acetate Chemical compound CC(=O)O[Si](OC(C)=O)(OC(C)=O)OC(C)=O YZVRVDPMGYFCGL-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 125000004417 unsaturated alkyl group Chemical group 0.000 description 2
- 210000002700 urine Anatomy 0.000 description 2
- 230000000007 visual effect Effects 0.000 description 2
- 238000005406 washing Methods 0.000 description 2
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 1
- KLCLIOISYBHYDZ-UHFFFAOYSA-N 1,4,4-triphenylbuta-1,3-dienylbenzene Chemical compound C=1C=CC=CC=1C(C=1C=CC=CC=1)=CC=C(C=1C=CC=CC=1)C1=CC=CC=C1 KLCLIOISYBHYDZ-UHFFFAOYSA-N 0.000 description 1
- UVHXEHGUEKARKZ-UHFFFAOYSA-N 1-ethenylanthracene Chemical compound C1=CC=C2C=C3C(C=C)=CC=CC3=CC2=C1 UVHXEHGUEKARKZ-UHFFFAOYSA-N 0.000 description 1
- OSSNTDFYBPYIEC-UHFFFAOYSA-N 1-ethenylimidazole Chemical compound C=CN1C=CN=C1 OSSNTDFYBPYIEC-UHFFFAOYSA-N 0.000 description 1
- OYKPJMYWPYIXGG-UHFFFAOYSA-N 2,2-dimethylbutane;prop-2-enoic acid Chemical compound OC(=O)C=C.OC(=O)C=C.OC(=O)C=C.CCC(C)(C)C OYKPJMYWPYIXGG-UHFFFAOYSA-N 0.000 description 1
- AZMMSEASPQHHTC-UHFFFAOYSA-N 2-[1,1-bis(2-hydroxyphenyl)ethyl]phenol Chemical compound C=1C=CC=C(O)C=1C(C=1C(=CC=CC=1)O)(C)C1=CC=CC=C1O AZMMSEASPQHHTC-UHFFFAOYSA-N 0.000 description 1
- FDSUVTROAWLVJA-UHFFFAOYSA-N 2-[[3-hydroxy-2,2-bis(hydroxymethyl)propoxy]methyl]-2-(hydroxymethyl)propane-1,3-diol;prop-2-enoic acid Chemical compound OC(=O)C=C.OC(=O)C=C.OC(=O)C=C.OC(=O)C=C.OC(=O)C=C.OCC(CO)(CO)COCC(CO)(CO)CO FDSUVTROAWLVJA-UHFFFAOYSA-N 0.000 description 1
- PGMMQIGGQSIEGH-UHFFFAOYSA-N 2-ethenyl-1,3-oxazole Chemical compound C=CC1=NC=CO1 PGMMQIGGQSIEGH-UHFFFAOYSA-N 0.000 description 1
- XUGNJOCQALIQFG-UHFFFAOYSA-N 2-ethenylquinoline Chemical compound C1=CC=CC2=NC(C=C)=CC=C21 XUGNJOCQALIQFG-UHFFFAOYSA-N 0.000 description 1
- QWNCDHYYJATYOG-UHFFFAOYSA-N 2-phenylquinoxaline Chemical compound C1=CC=CC=C1C1=CN=C(C=CC=C2)C2=N1 QWNCDHYYJATYOG-UHFFFAOYSA-N 0.000 description 1
- KXYAVSFOJVUIHT-UHFFFAOYSA-N 2-vinylnaphthalene Chemical compound C1=CC=CC2=CC(C=C)=CC=C21 KXYAVSFOJVUIHT-UHFFFAOYSA-N 0.000 description 1
- KGIGUEBEKRSTEW-UHFFFAOYSA-N 2-vinylpyridine Chemical compound C=CC1=CC=CC=N1 KGIGUEBEKRSTEW-UHFFFAOYSA-N 0.000 description 1
- DXIJHCSGLOHNES-UHFFFAOYSA-N 3,3-dimethylbut-1-enylbenzene Chemical compound CC(C)(C)C=CC1=CC=CC=C1 DXIJHCSGLOHNES-UHFFFAOYSA-N 0.000 description 1
- UNIYDALVXFPINL-UHFFFAOYSA-N 3-(2-methylprop-2-enoyloxy)propylsilicon Chemical compound CC(=C)C(=O)OCCC[Si] UNIYDALVXFPINL-UHFFFAOYSA-N 0.000 description 1
- LXWLHXNRALVRSL-UHFFFAOYSA-N 3-(oxiran-2-ylmethoxy)propylsilane Chemical class [SiH3]CCCOCC1CO1 LXWLHXNRALVRSL-UHFFFAOYSA-N 0.000 description 1
- WWBITQUCWSFVNB-UHFFFAOYSA-N 3-silylpropan-1-amine Chemical class NCCC[SiH3] WWBITQUCWSFVNB-UHFFFAOYSA-N 0.000 description 1
- KFDVPJUYSDEJTH-UHFFFAOYSA-N 4-ethenylpyridine Chemical compound C=CC1=CC=NC=C1 KFDVPJUYSDEJTH-UHFFFAOYSA-N 0.000 description 1
- HHKDWDAAEFGBAC-UHFFFAOYSA-N 5-bicyclo[2.2.1]hept-2-enyl(triethoxy)silane Chemical compound C1C2C([Si](OCC)(OCC)OCC)CC1C=C2 HHKDWDAAEFGBAC-UHFFFAOYSA-N 0.000 description 1
- OGOYZCQQQFAGRI-UHFFFAOYSA-N 9-ethenylanthracene Chemical compound C1=CC=C2C(C=C)=C(C=CC=C3)C3=CC2=C1 OGOYZCQQQFAGRI-UHFFFAOYSA-N 0.000 description 1
- 229920003026 Acene Polymers 0.000 description 1
- DKPFZGUDAPQIHT-UHFFFAOYSA-N Butyl acetate Natural products CCCCOC(C)=O DKPFZGUDAPQIHT-UHFFFAOYSA-N 0.000 description 1
- 125000006374 C2-C10 alkenyl group Chemical group 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical class [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 238000003747 Grignard reaction Methods 0.000 description 1
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 1
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 1
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 1
- 229920001157 Poly(2-vinylnaphthalene) Polymers 0.000 description 1
- 229920002319 Poly(methyl acrylate) Polymers 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 229920000265 Polyparaphenylene Polymers 0.000 description 1
- 239000004721 Polyphenylene oxide Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- 239000004793 Polystyrene Substances 0.000 description 1
- 239000004372 Polyvinyl alcohol Substances 0.000 description 1
- 101710185016 Proteasome-activating nucleotidase 1 Proteins 0.000 description 1
- 229910018557 Si O Inorganic materials 0.000 description 1
- 229910007991 Si-N Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910020177 SiOF Inorganic materials 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910006294 Si—N Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical class C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 1
- TVJPBVNWVPUZBM-UHFFFAOYSA-N [diacetyloxy(methyl)silyl] acetate Chemical compound CC(=O)O[Si](C)(OC(C)=O)OC(C)=O TVJPBVNWVPUZBM-UHFFFAOYSA-N 0.000 description 1
- 150000001252 acrylic acid derivatives Chemical class 0.000 description 1
- 238000007259 addition reaction Methods 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 150000001299 aldehydes Chemical class 0.000 description 1
- 230000000735 allogeneic effect Effects 0.000 description 1
- 150000001408 amides Chemical class 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 125000000732 arylene group Chemical group 0.000 description 1
- 239000005441 aurora Substances 0.000 description 1
- 210000003323 beak Anatomy 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 125000004369 butenyl group Chemical group C(=CCC)* 0.000 description 1
- KVNRLNFWIYMESJ-UHFFFAOYSA-N butyronitrile Chemical compound CCCC#N KVNRLNFWIYMESJ-UHFFFAOYSA-N 0.000 description 1
- 238000004364 calculation method Methods 0.000 description 1
- 229910002090 carbon oxide Inorganic materials 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- 125000003178 carboxy group Chemical group [H]OC(*)=O 0.000 description 1
- 150000001732 carboxylic acid derivatives Chemical class 0.000 description 1
- 125000002843 carboxylic acid group Chemical group 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 239000001913 cellulose Substances 0.000 description 1
- 229920002678 cellulose Polymers 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000007385 chemical modification Methods 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000013626 chemical specie Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000000112 cooling gas Substances 0.000 description 1
- RYGMFSIKBFXOCR-RNFDNDRNSA-N copper-68 Chemical compound [68Cu] RYGMFSIKBFXOCR-RNFDNDRNSA-N 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 238000007405 data analysis Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- OTARVPUIYXHRRB-UHFFFAOYSA-N diethoxy-methyl-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CCO[Si](C)(OCC)CCCOCC1CO1 OTARVPUIYXHRRB-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000004090 dissolution Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000007336 electrophilic substitution reaction Methods 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000007720 emulsion polymerization reaction Methods 0.000 description 1
- 125000003700 epoxy group Chemical group 0.000 description 1
- MOSXLDGILGBOSZ-UHFFFAOYSA-N ethenyl-methyl-phenylsilicon Chemical compound C=C[Si](C)C1=CC=CC=C1 MOSXLDGILGBOSZ-UHFFFAOYSA-N 0.000 description 1
- LDLDYFCCDKENPD-UHFFFAOYSA-N ethenylcyclohexane Chemical compound C=CC1CCCCC1 LDLDYFCCDKENPD-UHFFFAOYSA-N 0.000 description 1
- BEFDCLMNVWHSGT-UHFFFAOYSA-N ethenylcyclopentane Chemical compound C=CC1CCCC1 BEFDCLMNVWHSGT-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229940083124 ganglion-blocking antiadrenergic secondary and tertiary amines Drugs 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- VOZRXNHHFUQHIL-UHFFFAOYSA-N glycidyl methacrylate Chemical compound CC(=C)C(=O)OCC1CO1 VOZRXNHHFUQHIL-UHFFFAOYSA-N 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 238000004442 gravimetric analysis Methods 0.000 description 1
- 238000007542 hardness measurement Methods 0.000 description 1
- 125000001072 heteroaryl group Chemical group 0.000 description 1
- FUZZWVXGSFPDMH-UHFFFAOYSA-N hexanoic acid Chemical group CCCCCC(O)=O FUZZWVXGSFPDMH-UHFFFAOYSA-N 0.000 description 1
- 229920000587 hyperbranched polymer Polymers 0.000 description 1
- 150000003949 imides Chemical class 0.000 description 1
- 150000002466 imines Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 230000001788 irregular Effects 0.000 description 1
- 150000002576 ketones Chemical group 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001819 mass spectrum Methods 0.000 description 1
- 150000002734 metacrylic acid derivatives Chemical class 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- KKFHAJHLJHVUDM-UHFFFAOYSA-N n-vinylcarbazole Chemical compound C1=CC=C2N(C=C)C3=CC=CC=C3C2=C1 KKFHAJHLJHVUDM-UHFFFAOYSA-N 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- UMRZSTCPUPJPOJ-KNVOCYPGSA-N norbornane Chemical compound C1C[C@H]2CC[C@@H]1C2 UMRZSTCPUPJPOJ-KNVOCYPGSA-N 0.000 description 1
- 238000010534 nucleophilic substitution reaction Methods 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 239000005416 organic matter Substances 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- HDBWAWNLGGMZRQ-UHFFFAOYSA-N p-Vinylbiphenyl Chemical group C1=CC(C=C)=CC=C1C1=CC=CC=C1 HDBWAWNLGGMZRQ-UHFFFAOYSA-N 0.000 description 1
- INFDPOAKFNIJBF-UHFFFAOYSA-N paraquat Chemical compound C1=C[N+](C)=CC=C1C1=CC=[N+](C)C=C1 INFDPOAKFNIJBF-UHFFFAOYSA-N 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 229920000647 polyepoxide Polymers 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 229920000570 polyether Polymers 0.000 description 1
- 239000004926 polymethyl methacrylate Substances 0.000 description 1
- 229920006324 polyoxymethylene Polymers 0.000 description 1
- 229920002223 polystyrene Polymers 0.000 description 1
- 229920002451 polyvinyl alcohol Polymers 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- DNAJDTIOMGISDS-UHFFFAOYSA-N prop-2-enylsilane Chemical compound [SiH3]CC=C DNAJDTIOMGISDS-UHFFFAOYSA-N 0.000 description 1
- TVDSBUOJIPERQY-UHFFFAOYSA-N prop-2-yn-1-ol Chemical compound OCC#C TVDSBUOJIPERQY-UHFFFAOYSA-N 0.000 description 1
- 125000004368 propenyl group Chemical group C(=CC)* 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000010526 radical polymerization reaction Methods 0.000 description 1
- 238000007348 radical reaction Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 238000010992 reflux Methods 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 238000005389 semiconductor device fabrication Methods 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 229910000679 solder Inorganic materials 0.000 description 1
- 239000007790 solid phase Substances 0.000 description 1
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 1
- 238000004611 spectroscopical analysis Methods 0.000 description 1
- 239000003351 stiffener Substances 0.000 description 1
- PJANXHGTPQOBST-UHFFFAOYSA-N stilbene Chemical compound C=1C=CC=CC=1C=CC1=CC=CC=C1 PJANXHGTPQOBST-UHFFFAOYSA-N 0.000 description 1
- 239000004616 structural foam Substances 0.000 description 1
- 125000001424 substituent group Chemical group 0.000 description 1
- 230000001629 suppression Effects 0.000 description 1
- 238000001308 synthesis method Methods 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 238000010998 test method Methods 0.000 description 1
- 238000002076 thermal analysis method Methods 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 238000002411 thermogravimetry Methods 0.000 description 1
- 229920001169 thermoplastic Polymers 0.000 description 1
- 239000004416 thermosoftening plastic Substances 0.000 description 1
- BPSIOYPQMFLKFR-UHFFFAOYSA-N trimethoxy-[3-(oxiran-2-ylmethoxy)propyl]silane Chemical compound CO[Si](OC)(OC)CCCOCC1CO1 BPSIOYPQMFLKFR-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 210000001635 urinary tract Anatomy 0.000 description 1
- UKRDPEFKFJNXQM-UHFFFAOYSA-N vinylsilane Chemical class [SiH3]C=C UKRDPEFKFJNXQM-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02118—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01B—CABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
- H01B3/00—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
- H01B3/18—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
- H01B3/30—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
- H01B3/36—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes condensation products of phenols with aldehydes or ketones
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08G—MACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
- C08G61/00—Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
- C08G61/02—Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
-
- C—CHEMISTRY; METALLURGY
- C08—ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
- C08L—COMPOSITIONS OF MACROMOLECULAR COMPOUNDS
- C08L65/00—Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01B—CABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
- H01B3/00—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
- H01B3/18—Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02203—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/312—Organic layers, e.g. photoresist
- H01L21/3121—Layers comprising organo-silicon compounds
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/316—Inorganic layers composed of oxides or glassy oxides or oxide based glass
- H01L21/31695—Deposition of porous oxides or porous glassy oxides or oxide based porous glass
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/101—Forming openings in dielectrics
- H01L2221/1015—Forming openings in dielectrics for dual damascene structures
- H01L2221/1036—Dual damascene with different via-level and trench-level dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/095—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
- H01L2924/097—Glass-ceramics, e.g. devitrified glass
- H01L2924/09701—Low temperature co-fired ceramic [LTCC]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/11—Device type
- H01L2924/12—Passive devices, e.g. 2 terminal devices
- H01L2924/1204—Optical Diode
- H01L2924/12044—OLED
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Health & Medical Sciences (AREA)
- Medicinal Chemistry (AREA)
- Polymers & Plastics (AREA)
- Organic Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Formation Of Insulating Films (AREA)
- Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
- Laminated Bodies (AREA)
- Compositions Of Macromolecular Compounds (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Solid-Sorbent Or Filter-Aiding Compositions (AREA)
Abstract
본 발명은 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택된 기체층 형성 재료에 관한 것이다. 이렇게 형성된 기체 층은 마이크로칩 및 멀티칩 모듈에 사용된다.
Description
본 발명은 반도체 소자, 구체적으로 내부에 기체 층을 지닌 반도체 소자에 관한 것이다.
반도체 소자의 성능과 속도를 증가시키고자 하는 노력의 일환으로, 반도체 소자 제조업자들은 인터컨넥트(interconnect)의 선폭과 간격을 감소시키는 동시에, 투과 손실을 최소화시키고 인터컨넥트의 커패시티 커플링(capacitative coupling)을 감소시키고자 노력해왔다. 전력 소비를 줄이고 커패시턴스를 감소시키는 한 가지 방법은 인터컨넥트를 격리시키는 절연 재료 또는 유전체의 유전율("k"로도 언급함)을 감소시키는 것이다. 저유전율의 절연 재료가 특히 바람직한데, 이는 이러한 재료들이 전형적으로 신호 전파를 보다 빠르게 할 수 있고, 커패시턴스와 전도체 배선들 사이의 크로스토크(cross talk)를 감소시키며, 집적 회로를 구동시키는데 필요한 전압을 낮추기 때문이다.
공기의 유전율은 1.0이기 때문에, 주목적은 절연 재료의 유전율을 유전율의 이론적 한계인 1.0까지 감소시키는 것이며, 이와 같이 절연 재료의 유전율을 감소시키기 위해서 몇가지 방법이 당분야에 알려져 있다. 이러한 기술로는, 플루오르와 같은 원소를 조성물에 첨가하여 괴상(bulk) 재료의 유전율을 감소시키는 방법을 들 수 있다. k를 감소시키는 다른 방법으로서는, 대체 유전 재료 매트릭스를 사용하는 방법을 들 수 있다. 또 다른 방법으로서는, 매트릭스내로 소공을 도입시키는 방법이다.
그러므로, 인터컨넥트 선폭이 감소함에 따라, 장래의 반도체 소자에 요망되는 성능과 속도를 개선시키기 위해서는 절연 재료의 유전율을 그와 동시에 감소시킬 필요가 있다. 예를 들면, 최소 피처(feature) 크기가 0.13 또는 0.10 미크론 이하인 소자는 유전율 (k)가 3보다 작은 절연 재료를 필요로 한다.
현재는, 이산화실리콘(SiO2) 및 SiO2의 개질 변형체, 예를 들면 플루오르화된 이산화실리콘 또는 플루오르화된 실리콘 유리(이하 FSG라 함)를 사용하고 있다. 유전율이 약 3.5 내지 4.0인 이러한 산화물들은 반도체 소자에서 유전체로서 통상 사용된다. SiO2와 FSG는 반도체 소자 제조의 열 사이클링과 가공 단계를 견디는데 필요한 기계적 안정성과 열 안정성을 갖지만, 당업계에서는 보다 낮은 유전율을 갖는 재료들이 필요한 실정이다.
유전성 재료를 증착시키는데 사용되는 방법은 다음과 같은 2개의 범주로 구분할 수 있다: 스핀-온 증착(spin-on deposition; 이하 SOD라 함) 및 화학 기상 증착(chemical vapor deposition; 이하 CVD라 함). 저유전율 재료를 개발하기 위한 몇가지 방법으로서는, 화학적 조성(유기, 무기, 유기/무기의 블렌드)을 변경하거나, 유전체 매트릭스(다공성, 비다공성)를 변화시키는 방법을 들 수 있다. 하기 표 1에는, 유전율이 2.0 내지 3.9 범위인 몇가지 재료의 개발 현황이 제시되어 있다(PE= 플라즈마 강화; HDP= 고밀도 플라즈마). 그러나, 하기 표 1에 나타난 간행물에 개시된 유전 재료 및 매트릭스는, 유효한 유전 재료에 요망되거나 심지어 필수적인 여러가지 바람직한 물리적 성질과 화학적 특성, 예를 들면 높은 기계적인 안정성, 높은 열 안정성, 높은 유리 전이 온도, 높은 모듈러스 또는 경도를 나타내는 동시에 용매화되거나, 스피닝되거나 기판, 웨이퍼 또는 다른 표면상에 증착될 수 있는 성질을 겸비하지 못한다. 그러므로, 현재의 형태로는 유전 재료로서 현재 고려되지 않는 화합물 또는 재료라 할지라도, 유전체 재료 및 유전층으로서 사용될 수 있는 다른 화합물 및 재료를 연구 조사하는 것이 유용할 수 있다.
재료 | 부착 방법 | 유전율(k) | 참고 자료 |
플루오르화된 실리콘 옥사이드(SiOF) | PE-CVD:HDP-CVD | 3.3-3.5 | 미국 특허 제 6,278,174호 |
수소 실세스퀴옥산 (HSQ) | SOD | 2.0-2.5 | 미국 특허 제 4,756,977호; 5,370,903호; 및 5,486,564호; 국제 특허 출원 공개 WO00/40637호; E.S. Moyer 등, "Ultra Low k Silsesquioxane Based Resins", Concepts and Needs for Low Dielectric Constant<0.15 ㎛ Interconnect Materials: Now and the Next Millennium, Sponsored by the American Chemical Society, 128-146페이지 (11월 14-17일, 1999) |
메틸 실세스퀴옥산 (MSQ) | SOD | 2.4-2.7 | 미국 특허 제 6,143,855호 |
폴리오르가노실리콘 | SOD | 2.5-2.6 | 미국 특허 제 6,225,238호 |
플루오르화 비정질 탄소(a-C:F) | HDP-CVD | 2.3 | 미국 특허 제 5,900,290호 |
벤조시클로부텐(BCB) | SOD | 2.4-2.7 | 미국 특허 제 5,225,586호 |
폴리아릴렌 에테르 (PAE) | SOD | 2.4 | 미국 특허 제 5,986,045; 5,874,516; 및 5,658,994호 |
파릴렌(N 및 F) | CVD | 2.4 | 미국 특허 제 5,268,202호 |
폴리페닐렌 | SOD | 2.6 | 미국 특허 제 5,965,679호 및 6,288,188B1호 및 Waeterloos 등, "integration Feasibility of Porous SiLK Semiconductor Dielectric", Proc. Of the 2001 International Interconnect Tech. Conf., pp. 253-254 (2001) |
열경화성 벤조시클로부텐, 폴리아릴렌, 열경화성 퍼플루오로아릴렌 단량체 | SOD | 2.3 | 국제 특허 출원 공개 WO00/31183호 |
폴리(페닐퀴녹살린), 유기 폴리실리카 | SOD | 2.3-3.0 | 미국 특허 제 5,776,990호; 5,895,263호; 6,107,357호; 및 6,342,454호; 미국 특허 출원 공개 2001/0040294호 |
유기 폴리실리카 | SOD | 보고되지 않음 | 미국 특허 제6,271,273호 |
유기 및 무기 재료 | SOD | 2.0-2.5 | Honeywell 미국 특허 제 6,156,812호 |
유기 및 무기 재료 | SOD | 2.0-2.3 | Honeywell 미국 특허 제 6,171,687호 |
유기 재료 | SOD | 보고되지 않음 | Honeywell 미국 특허 제 6,172,128호 |
유기물 | SOD | 2.12 | Honeywell 미국 특허 제 6,214,746호 |
유기 및 무기 재료 | SOD | 보고되지 않음 | Honeywell 미국 특허 제 6,313,185호 |
오르가노실세스퀴옥산 | CVD, SOD | <3.9 | Honeywell WO01/29052호 |
플루오로실세스퀴옥산 | CVD, SOD | <3.9 | Honeywell 미국 특허 제 6,440,550호 |
유기 및 무기 재료 | SOD | ≤2.5 | Honeywell 미국 특허 제 6,380,270호 |
유기 재료 | - | <3.0 | Honeywell 미국 특허 제 6,380,347호 |
케이지형 구조물 | SOD | <2.7 | Honeywell 미국 특허 출원 제 10/158513호 (2002.5.30 출원) |
케이지형 구조물 | SOD | <3.0 | Honeywell 미국 특허 출원 제 10/158548호 (2002.5.30 출원) |
반도체 소자의 유전율을 감소시키는 다른 방법은, 에어갭(air gap)을 포함시키는 방법이다. 에어갭을 형성하는 한가지 방법은, 문헌 [V. Arnal, "Integration of a 3 Level Cu-SiO2 Air Gap Interconnect for Sub 0.1 Micron CMOS Technologies", 2001 Proceedings of International Interconnect Technology Conference(2001년 6월 4-6일)]에 교시된 바와 같이, 선택된 구리 배선 사이의 산화물을 에칭(etching)하는 것이다. SiO2 는 유전율이 대략 4.0이기 때문에, 임의의 에칭되지 않은 산화물은 괴상의 유전체, 캡(cap), 에치 스탑(etch stop) 및 하드마스크(hardmask)를 포함하는 층간(inter-level) 유전체 구조물의 유전율로서 정의되는 바람직지 못한 k유효 값을 초래한다. 이에 관해서는 마이클 이. 토마스 (Michael E. Thomas) 등의 미국 특허 제 5,117,276호를 참조할 수 있다. 또한, 미국 특허 제 6,268,262호; 6,268,277호 및 6,277,705호를 참조할 수 있다.
에어갭을 생성하는 또 다른 방법은, 문헌 [B.P. Shieh 등, "Electromigration Reliability of Low Capacitance Air-Gap Interconnect Structures", 2002 Proceedings of International Interconnect Technology Conference (2002년 6월 3-5일)]에 개시된 바와 같이, 비-등각(non-conformal) 실란 증착 기술을 사용하여 금속 배선의 상부 코너에 "브로드로핑(broadloafing)"을 생성시키는 것이다. 상기 종래 기술의 방법은 바람직하지 못한 불규칙한 형태 및 금속 와이어 보다 높아서 기계적인 단점을 생성시키거나 요망되는 크기보다 작아서 k유효 값을 높게 만드는 에어갭을 형성한다. 이에 관해서는, 미국 특허 제 6,281,585호 및 6,376,330호를 참조할 수 있다.
문헌 [Holie A. Reed 등, "Porous Dielectrics and Air-Gaps Created by Sacrificial Placeholders", International SEMATECH Ultra Low k Workshop (2002년 6월 6-7일)은, 폴리카르보네이트와 폴리노르보르넨의 단독중합체를 사용하여 에어갭을 만들 수 있음을 개시하고 있다. 미국 특허 출원 공개 2002/0122648호는 폴리노르보르넨; 폴리카르보네이트; 폴리에테르 및 폴리에스테르를 포함하는 에어갭 형성 재료를 개시하고 있다. 또한, 미국 특허 출원 공개 2002/0136481호는 폴리포름알데히드를 유용한 에어갭 형성 재료로서 개시하고 있다. 이외에도, 미국 특허 제 6,316,347호를 참조할 수 있다. 미국 특허 제 6,380,106호는 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리부타디엔, 플루오르화된 비정질 탄소 및 폴리카프로락톤 디올로 이루어진 기화 가능한 충전제 재료의 용도를 개시하고 있다. 국제 특허 출원 공개 WO02/19416호는 폴리메틸 메타크릴레이트, 폴리스티렌 및 폴리비닐 알코올과 같은 에어갭 중합체를 개시하고 있다. 미국 특허 제 6,346,484호는 폴리(메틸아크릴레이트), 파릴렌 및 노르보르넨계 재료와 같은 에어갭 형성 재료를 개시하고 있다.
본 출원인의 공동계류중인 2002년 5월 30일자 미국 특허 출원 제 10/158513호에서, 본 출원인은 작용기화되지 않은 폴리아세나프틸렌 단독중합체; 작용기화된 폴리아세나프틸렌 단독중합체; 폴리아세나프틸렌 공중합체; 폴리(2-비닐나프탈렌); 및 폴리(비닐 안트라센); 및 각각의 블렌드를 포함하는 포로젠(porogen)을 개시하고 청구하고 있다.
반도체 제조업자들은 개선된 기체 층 형성 재료, 구체적으로 300℃에서 1시간동안 방치된 후에 2% 미만의 중량 손실율을 나타냄으로써, 재료의 열분해 이전의 에칭 및 세정 단계를 포함하지만 이들에 제한되는 않는 가공 단계 동안 치수 안정성과 화학적 안정성을 보장하는 재료를 요구하고 있다. 그러나, 불행히도 폴리노르보르넨 단독중합체와 공중합체는, 도 1 및 도 2에 도시된 바와 같은 업계의 엄격한 요건에 부합하지 못한다. 홀리 에이. 리드 (Hollie A. Reed) 등의 문헌에서는 이와 같은 업계의 요건을 언급하고 있지 않으므로, 당업자라 할지라도 동 문헌의 기술 내용으로부터 상기 업계의 요건에 부합하는 본 발명을 도출해내지 못할 것이다. 또한, 폴리에틸렌 글리콜, 폴리프로필렌 글리콜 및 폴리부타디엔은 이와 같은 업계의 요건에 부합하지 못한다. 뿐만 아니라, 상기 홀리. 에이. 리드 등의 문헌은 질소 함량으로 인해 집적 설계에 있어서는 바람직하지 못한 폴리이미드 캡핑(capping) 층을 개시하고 있다.
또한, 요구되는 집적 가공 요건을 견뎌내기 위해서는, 유리 전이 온도(Tg)가 약 200℃ 이상인 재료가 필요하다. 불행히도, 미국 특허 제 6,380,106호의 폴리에틸렌 글리콜, 폴리프로필렌 글리콜, 폴리부타디엔, 플루오르화된 비정질 탄소 및 폴리카프로락톤 디올은 200℃ 미만의 Tg를 갖는다.
도 1은 하기 비교예의 폴리노르보르넨 공중합체 1(PNB 1)에 대한 ITGA (Isothermal Gravimetric Analysis; 등온 열 중량 분석) 플롯이다.
도 2는 하기 비교예의 폴리노르보르넨 공중합체 2(PNB 2)에 대한 ITGA 플롯이다.
도 3은 하기 본 발명의 실시예 15와 관련된 아세나프틸렌 단독중합체에 대한 ITGA 그래프이다.
도 4는 본 발명을 이용한 집적 설계를 도시한 도면이다.
도 5는 본 발명을 이용한 또 다른 집적 설계를 도시한 도면이다.
발명의 상세한 설명
본 명세서에서 사용한, "기체 층(gas layer)"이라는 용어는 마이크로전자 소자내의 층간 유전층에 공극 또는 셀을 갖는 필름 또는 코팅을 포함하며, 마이크로전자 소자내의 층간 유전층에서 기체에 의해 점유되는 공간을 의미하는 다른 용어들을 포함한다. 적절한 기체로서는, 비교적 순수한 기체 및 이들의 혼합물을 들 수 있다. 주로 N2와 O2의 혼합물인 공기가 통상 소공내에 분포되지만, 질소, 헬륨, 아르곤, CO2 또는 CO와 같은 순수한 기체도 고려된다. 본 명세서에 사용한 "기체 층 형성 재료"라는 용어는, 층, 필름 또는 코팅으로 성형되어 가공되고 제거될 수 있다.
중합체:
본 발명의 중합체는 열에 의해서; 방사선, 기계적인 에너지 또는 입자 방사선에 노출시킴으로써; 또는 용매 추출 또는 화학 에칭에 의해서 분해될 수 있다. 열분해성 중합체가 바람직하다. 본 명세서에 사용한 "열분해성 중합체"라는 용어는, 열에 의해서 분해가능하거나, 탈중합 가능하거나, 그렇지 않으면 파괴 가능한, 분해성 중합체를 의미하는 것으로서, 그 예로서는 고체상, 액상 또는 기체상 재료를 들 수 있다. 분해된 중합체는 제거 가능한 형태이거나, 부분적으로 또는 전체적으로 가교된 매트릭스로부터 제거가능하거나 이를 통해 휘발 또는 확산함으로써 마이크로전자 소자내의 층간 유전층에 기체 층을 형성함으로써, 층간 유전층의 유전율을 저하시킨다. 초임계 재료, 예를 들면 CO2를 사용하여 열분해성 중합체 및 분해된 열분해성 중합체 단편을 제거할 수 있다. 더욱 바람직하게는, 열분해성 중합체는 약 300℃ 보다 높은 유리 전이 온도 (Tg)를 지닌다. 바람직하게는, 본 발명의 열분해성 중합체는 약 350℃ 이상의 분해 온도를 지닌다. 바람직하게는, 분해된 열분해성 중합체는 약 280℃ 이상의 온도에서 휘발한다.
바람직하게 사용될 수 있는 유용한 열분해성 중합체로서는, 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체를 들 수 있다.
유용한 폴리아세나프틸렌 단독중합체는, 바람직하게는 약 300 내지 약 100,000 범위, 더욱 바람직하게는 약 15,000 내지 약 70,000 범위의 중량 평균 분자량을 가질 수 있으며, 아세나프틸렌으로부터 다양한 개시제, 예를 들면 2,2'-아조비스이소부티로니트릴(AIBN); 디-t-부틸 아조디카르복실레이트; 디이소프로필 아조디카르복실레이트; 디에틸 아조디카르복실레이트; 디벤질 아조디카르복실레이트; 디페닐 아조디카르복실레이트; 1,1'-아조비스(시클로헥산카르보니트릴); 벤조일 퍼옥사이드(BPO); t-부틸 퍼옥사이드; 및 보론 트리플루오라이드 디에틸 에테레이트를 사용하여 중합시킬 수 있다. 작용기화된 폴리아세나프틸렌 단독중합체는 사슬 말단에, 이중 결합 또는 삼중 결합 알코올, 예컨대 알릴 알코올; 프로파르길 알코올; 부틴올; 부텐올; 또는 히드록시에틸 메타크릴레이트로 켄칭된 양이온성 중합 반응에 의해 삼중결합 또는 이중결합과 같은 말단기를 가질 수 있다.
유럽 특허 출원 공고 제 315453호는 실리카 및 특정한 금속 산화물이 탄소와 반응하여 휘발성 부산화물 (sub oxide) 및 기체상의 탄소 산화물을 형성함으로써 소공을 형성한다는 것을 개시하고 있으며, 탄소원으로서 폴리아세나프틸렌을 비롯한 적합한 유기 중합체를 개시하고 있다. 그러나, 상기 특허 공보에는, 폴리아세나프틸렌이 기체 층 형성 재료로 사용된다는 것에 대해서는 시사하거나 제안한 바가 전혀 없다.
유용한 폴리아세나프틸렌 공중합체는 선형 중합체, 성상 중합체 또는 과분지형 중합체일 수 있다. 공단량체는, 폴리아세나프틸렌 단독중합체의 입체형태와 유사한 공중합체 입체형태를 유발하는 큰 측쇄의 기 또는 폴리아세나프탈렌 단독중합체의 입체형태와 유사하지 않은 공중합체 입체형태를 유발하는 크지 않은 측쇄의 기를 가질 수 있다. 큰 측쇄의 기를 갖는 공단량체로서는, 비닐 피발레이트; t-부틸 아크릴레이트; 스티렌; α-메틸스티렌; t-부틸스티렌; 2-비닐나프탈렌; 5-비닐-2-노르보르넨; 비닐 시클로헥산; 비닐 시클로펜탄; 9-비닐안트라센; 4-비닐비페닐; 테트라페닐부타디엔; 스틸벤; t-부틸스틸벤; 및 인덴을 들 수 있으며, 그러한 공단량체로서는 비닐 피발레이트가 바람직하다. 히드리도폴리카르보실란을 추가의 공단량체로서, 또는 아세나프틸렌과 전술한 바와 같은 1종 이상의 공단량체의 공중합체 성분으로서 사용할 수 있다. 유용한 히드리도카르보실란의 일례를 들면 10% 또는 75%의 알릴기를 갖는 것이다. 크지 않은 측쇄의 기를 갖는 공단량체로서는, 비닐 아세테이트; 메틸 아크릴레이트; 메틸 메타크릴레이트; 및 비닐 에테르를 들 수 있으며, 그러한 공단량체로서는 비닐 아세테이트가 바람직하다.
공단량체의 양은 공중합체의 약 5 몰% 내지 약 50 몰% 범위인 것이 바람직하다. 이러한 공중합체는 개시제를 사용하여 자유 라디칼 중합반응에 의해 제조할 수 있다. 유용한 개시제로서는, 2,2'-아조비스이소부티로니트릴(AIBN); 디-t-부틸 아조디카르복실레이트; 디이소프로필 아조디카르복실레이트; 디에틸 아조디카르복실레이트; 디벤질 아조디카르복실레이트; 디페닐 아조디카르복실레이트; 1,1'-아조비스(시클로헥산카르보니트릴); 벤조일 퍼옥사이드(BPO); 및 t-부틸 퍼옥사이드를 들 수 있으며, 개시제는 AIBN인 것이 더욱 바람직하다. 또한, 공중합체는 보론 트리플루오라이드 디에틸 에테레이트와 같은 개시제를 사용하여 양이온성 중합반응에 의해 제조할 수도 있다. 공중합체의 분자량은 약 15,000 내지 약 70,000인 것이 바람직하다.
아세나프틸렌과 공단량체의 공중합체들에 대한 열적 특성을 하기 표 2에 기재하였다. 표 2에서, BA는 부틸 아세테이트를; VP는 비닐 피발레이트를; VA는 비닐 아세테이트를; AIBN은 2,2'-아조비스이소부티로니트릴을; BF3는 보론 트리플루오라이드 디에틸 에테레이트를; DBADC는 디-t-부틸 아조디카르복실레이트를; W1은 실온 내지 250℃에서 중량 손실율을; W2는 250℃에서 10분동안 방치후 중량 손실율을; W3은 250℃ 내지 400℃에서 중량 손실율을; W4는 400℃에서 1시간동안 방치후 중량 손실율을; 그리고 W5는 총 중량 손실율을 나타낸다.
공단량체 | 공중합체 | 개시제 | 공단량체 % | 개시제% | 용매 | 온도(℃) | 시간(hr) | W1 | W2 | W3 | W4 | W5 | Mn | Mw |
BA | 1 | AIBN | 11 | 1 | 크실렌 | 70 | 24 | 14.63 | 1.02 | 33.14 | 30.44 | 79.23 | 4797 | 10552 |
BA | 2 | AIBN | 20 | 1 | 크실렌 | 70 | 24 | 1.47 | 0.98 | 37.92 | 35.55 | 75.92 | 4343 | 8103 |
BA | 3 | AIBN | 30 | 1 | 크실렌 | 70 | 24 | 13.41 | 1.6 | 36.48 | 27.55 | 79.04 | 4638 | 7826 |
BA | 4 | AIBN | 50 | 1 | 크실렌 | 70 | 24 | 10.01 | 2.96 | 46.92 | 26.51 | 86.40 | 3504 | 5489 |
BA | 5 | BF3 | 10 | 3 | 크실렌 | 5 | 2 | 11.93 | 0.58 | 40.06 | 29.33 | 81.90 | 1502 | 2421 |
VP | 6 | AIBN | 10 | 1 | 크실렌 | 70 | 24 | 16.22 | 0.41 | 37.8 | 34.72 | 89.15 | 5442 | 10007 |
VP | 7 | AIBN | 16 | 1 | THF | 60 | 12 | 5.32 | 0.66 | 46.55 | 29.59 | 82.12 | 1598 | 2422 |
VP | 8 | AIBN | 25 | 1 | 크실렌 | 70 | 24 | 4.15 | 0.37 | 24.98 | 47.4 | 76.90 | 2657 | 8621 |
VP | 9 | AIBN | 30 | 1 | 크실렌 | 70 | 24 | 14.7 | 0.69 | 33.27 | 39.54 | 88.20 | 5342 | 9303 |
VP | 10 | AIBN | 40 | 1 | 크실렌 | 70 | 24 | 6.34 | 0.26 | 33.69 | 39.38 | 76.67 | 4612 | 7782 |
VP | 11 | AIBN | 50 | 1 | 크실렌 | 70 | 24 | 14.12 | 0.32 | 29.01 | 37.86 | 81.31 | 4037 | 6405 |
VP | 12 | BF3 | 10 | 1 | 크실렌 | 5 | 2 | 0.84 | 0 | 55.51 | 39.38 | 95.73 | 2078 | 3229 |
VP | 13 | BF3 | 10 | 3 | 크실렌 | 5 | 2 | 2.26 | 0.06 | 47.44 | 28.93 | 78.69 | 1786 | 2821 |
VP | 14 | BF3 | 25 | 1 | 크실렌 | 5 | 2 | 0.17 | 0 | 36.99 | 41.17 | 78.33 | 2381 | 3549 |
VP | 15 | BF3 | 25 | 3 | 크실렌 | 5 | 2 | 1.33 | 0.03 | 35.28 | 41.08 | 77.72 | 2108 | 3267 |
VP | 16 | BF3 | 40 | 1 | 크실렌 | 5 | 2 | 0.23 | 0.04 | 36.46 | 42.17 | 78.90 | 2659 | 3692 |
VP | 17 | BF3 | 40 | 3 | 크실렌 | 5 | 2 | 0.28 | 0.01 | 40.23 | 38.98 | 79.50 | 2270 | 3376 |
VA | 18 | AIBN | 20 | 2 | 크실렌 | 70 | 24 | 16.93 | 1.346 | 38.42 | 21.43 | 78.13 | 3404 | 7193 |
VA | 19 | AIBN | 40 | 2 | 크실렌 | 70 | 24 | 15.45 | 1.631 | 31.28 | 31.64 | 80.00 | 3109 | 6141 |
바람직한 폴리비닐노르보르넨은 하기 화학식으로 표시된다:
상기 식에서, n1은 50 내지 1,000이고, R1, R2 및 R3는 수소 원자, 알킬, 알킬 또는 아릴이다.
바람직한 폴리노르보르넨 유도체로서는, 하기 화학식으로 표시되는 폴리노르보르넨-코-아세나프틸렌을 들 수 있다:
상기 공중합체는 랜덤 공중합체 또는 블록 공중합체일 수 있으며, 식중 R4는 페닐, 비페닐, n-부틸, n-헥실, 수소 원자, -Si(OCH3)3, -Si(OC2H5)3, -Si(OAc)3 및 -SiCl3로 이루어진 군중에서 선택되고, 단, n2≠0, n3≠0이고, n2+n3=100%이다.
또한, 바람직한 폴리노르보르넨 유도체로서는, 하기 화학식으로 표시되는 폴리노르보르넨-인덴 공중합체를 들 수 있다:
상기 공중합체는 랜덤 공중합체 또는 블록 공중합체일 수 있으며, 식중 R5는 페닐, 비페닐, n-부틸, n-헥실, 수소 원자, -Si(OCH3)3, -Si(OC2H5)3, -Si(OAc)3 및 -SiCl3로 이루어진 군중에서 선택되고, n4≠0, n5≠0이고, n4+n5=100%이다.
또 다른 바람직한 폴리노르보르넨 유도체로서는, 하기 화학식으로 표시되는 폴리노르보르넨-코-아세나프틸렌을 들 수 있다:
상기 공중합체는 랜덤 공중합체 또는 블록 공중합체일 수 있으며, 식중 R6과 R7은 독립적으로 페닐, 비페닐, n-부틸, n-헥실, 수소 원자, -Si(OCH3)3, -Si(OC2H5)3, -Si(OAc)3 및 -SiCl3로 이루어진 군중에서 선택되고, n6≠0, n7≠0, n8≠0이고, n6+n7+n8=100%이다.
바람직한 폴리노르보르넨 유도체로서는, 하기 화학식으로 표시되는 폴리노르보르넨-코-인덴을 들 수 있다:
상기 공중합체는 랜덤 공중합체 또는 블록 공중합체일 수 있으며, 식중 R8과 R9은 독립적으로 페닐, 비페닐, n-부틸, n-헥실, 수소 원자, -Si(OCH3)3, -Si(OC2H5)3, -Si(OAc)3 및 -SiCl3로 이루어진 군중에서 선택되고, n9≠0, n10≠0, n11≠0이고, n9+n10+n11=100%이다.
바람직한 가교된 시스템으로서는 하기 화학식으로 표시되는 비닐 시스템을 들 수 있다.
기타 비닐 단량체는 공단량체로서의 말레이미드와 비스말레이미드 및 스티렌 및/또는 디비닐벤젠과의 가교기를 포함한다. 유용한 화학이 본문중에 전체 내용이 참고로 인용된 문헌 [Mark A. Hoisington, Joseph R. Duke 및 Paul G. Apen, "High Temperature, Polymeric, Structural Foams from High Internal Phase Emulsion Polymerizations (1996) 및 P. Hodge 등, "Preparation of Crosslinked Polymers using Acenaphthylene and the Chemical Modification of these Polymers", Polymers 26(11) (1985)]에 개시되어 있다.
다른 바람직한 가교된 시스템에는, 하기와 같은 아크릴레이트 및/또는 메타크릴레이트 시스템이 포함된다:
다른 유용한 열분해성 중합체로는 셀룰로오스와 폴리히드로카본을 들 수 있다.
일반 양도된 미국 특허 제 5,986,045호; 6,124,421호; 및 6,303,733호(본문중에 전체 내용이 참고로 인용됨)에 개시된 바와 같은 폴리(아릴렌 에테르) 조성물이 본 발명에서 사용될 수 있다.
열분해성 중합체로서는 폴리아세나프틸렌 단독중합체, 폴리아세나프틸렌 공중합체 및 폴리노르보르넨 유도체가 바람직하다. 열분해성 중합체는 폴리아세나프틸렌 단독중합체 및 폴리아세나프틸렌 공중합체인 것이 더욱 바람직하다. 열분해성 중합체는 폴리아세나프틸렌 단독중합체인 것이 가장 바람직하다.
바람직한 열분해성 중합체는 300℃에서 1시간동안 방치한 후에 그 열분해성 중합체의 중량 손실율이 보다 낮아지도록 가공 또는 처리될 수 있다. 이와 같은 처리 방법으로서는, 전처리법, 예컨대 300℃에서 경화시키는 방법, 열분해성 중합체에 작용기화시키는 방법, 또는 첨가제를 약 5 내지 15 중량%의 양으로 사용하는 방법을 들 수 있으며, 이때 상기 첨가제의 예로서는 하기 화학식의 실란; 허니웰의 HOSP® 제품 또는 일반 양도된 미국 특허 제 6,043,330호 및 6,143,855호 또는 계류중인 2002년 6월 3일자 특허 출원 제 10/161561호에 개시된 바와 같은 유기실록산; 허니웰 ACCUGLASS® T-04 페닐실록산 중합체; 허니웰 ACCUGLASS® T-08 메틸페닐실록산 중합체; 허니웰 ACCUSPIN® 720 실록산 중합체; 미국 특허 제 4,756,977호, 5,370,903호 및 5,486,564호에 개시된 바와 같은 수소 실세스퀴옥산; 또는 미국 특허 제 6,143,855호에 개시된 바와 같은 메틸 실세스퀴옥산 (전술한 특허 공보들은 모두 전체 내용이 본 명세서에 참고로 인용됨); 및 전구체들을 들 수 있다:
상기 식에서, R10, R11, R12 및 R13은 동일하거나 상이하고, 수소 원자, 알킬, 아릴, 알콕시, 아릴옥시, 아세톡시, 염소 또는 이들의 조합으로부터 선택되며, R10, R11, R12 및 R13중 하나 이상은 알콕시, 아릴옥시, 아세톡시 또는 염소 원자이다.
소량의 열안정성 첨가제를 사용할 수 있으며, 그 예로는 Si를 들 수 있다. 이 첨가제는 중합체와 물리적인 블렌드를 형성하거나, 중합체와 반응할 수 있다.
접착 촉진제:
접착 촉진제를 열분해성 중합체와 함께 사용하는 것이 바람직하다. 접착 촉진제는 열분해성 중합체 전구체와 반응하는 공단량체이거나, 열분해성 중합체 전구물질에 대한 첨가제일 수 있다.
유용한 접착 촉진제의 예들이 본문중에 참고 인용한 계류중인 2002년 5월 30일자로 출원되고 일반 양도된 미국 특허 출원 제 158513호에 개시되어 있다. 본 명세서에 사용한 용어 "접착 촉진제"는 열분해성 중합체와 함께 사용하였을때 그 중합체의 기판에 대한 접착력을 열분해성 중합체와 비교하여 향상시키는 임의의 성분을 의미한다.
상기 접착 촉진제는 적어도 2작용기를 갖는 화합물인 것이 바람직하며, 이때 2개의 작용기는 동일하거나 상이할 수 있고, 제1 작용기와 제2 작용기중 하나 이상은 Si 함유 기; N 함유 기; C-O 결합 함유 기; 히드록실기; 및 C=C 이중결합 함유 기들로 이루어진 군중에서 선택된다. "적어도 2작용기를 갖는 화합물"이라는 용어는, 다음과 같이 상호작용하거나, 반응하거나 결합을 형성할 수 있는 2개 이상의 작용기를 갖는 화합물을 의미한다. 상기 작용기는 여러가지 방식으로, 예를 들면 부가 반응, 친핵 치환, 친전자성 치환 또는 제거 반응, 라디칼 반응 등을 통해서 반응할 수 있다. 추가의 대안적인 반응은, 비공유 결합을 형성하는 반응, 예를 들면 반데르발스 결합, 정전기 결합, 이온 결합 또는 수소 결합을 형성하는 반응을 들 수 있다.
접착 촉진제에 있어서, 제1 작용기와 제2 작용기중 하나 이상은 Si 함유 기; N 함유 기; C-O 결합 함유 기; 히드록실기; 및 C=C 이중결합 함유 기로 이루어진 군중에서 선택되는 것이 바람직하다. 바람직하게는, 상기 Si 함유 기는 Si-H, Si-O 및 Si-N중에서 선택되고; N 함유 기는 C-NH2 또는 기타 2차 및 3차 아민, 이민, 아미드 및 이미드와 같은 기로부터 선택되며; C-O 결합 함유 기는 =CO, 카르보닐 기, 예컨대 케톤 및 알데히드, 에스테르, -COOH, 탄소 원자 수가 1-5개인 알콕시, 에테르, 글리시딜 에테르 및 에폭시중에서 선택되고; 히드록실기는 페놀이고; C=C 이중결합 함유 기는 알릴과 비닐기중에서 선택된다. 반도체 용도에 있어서, 보다 바람직한 작용기로서는 Si 함유 기; C-O 결합 함유 기; 히드록실기; 및 비닐 기를 들 수 있다.
Si 함유 기를 갖는 바람직한 접착 촉진제의 일례로서는 화학식 I (R14)k(R15)lSi(R16)m(R17)n로 표시되는 실란을 들 수 있으며, 상기 식에서, R14, R15, R16 및 R17은 각각 독립적으로 수소 원자, 히드록실, 포화 또는 불포화 알킬, 치환 또는 비치환 알킬(이때, 치환기는 아미노 또는 에폭시이다), 포화 또는 불포화 알콕시, 포화 또는 불포화 카르복실산 라디칼 또는 아릴을 나타내고; R14, R15, R16 및 R17중 2개 이상은 수소 원자, 히드록실, 포화 또는 불포화 알콕시, 불포화 알킬 또는 불포화 카르복실산 라디칼을 나타내며; k+l+m+n ≤ 4이다. 예로서는, 비닐실란, 예컨대 H2C=CHSi(CH3)2H 및 H2C=CHSi(R18)3 (이때, R18은 CH3O, C2H5O, AcO, H2C=CH 또는 H2C=C(CH3)O-이다) 또는 비닐페닐메틸실란; 화학식 H2C=CHCH2-Si(OC2H5)3 및 H2C=CHCH2-Si(H)(OCH3)2로 표시되는 알릴실란; 글리시독시프로필실란, 예컨대 (3-글리시독시프로필)메틸디에톡시실란 및 (3-글리시독시프로필)트리메톡시실란; 화학식 H2C=(CH3)COO(CH2)3-Si(OR19)3(이때, R19는 알킬, 바람직하게는 메틸 또는 에틸이다)로 표시되는 메타크릴옥시프로필실란; 아미노프로필실란 유도체, 예컨대 H2N(CH2)3Si(OCH2CH3)3, H2N(CH2)3Si(OH)3 또는 H2N(CH2)3OC(CH3)2CH=CHSi(OCH3)3를 들 수 있다. 전술한 바와 같은 실란류는 겔레스트(Gelest)사로부터 시판된다.
C-O 결합 함유 기를 갖는 바람직한 접착 촉진제의 일례로서는 글리시딜 에테르를 들 수 있으며, 그 구체적인 예로서는 트리퀘스트(TriQuest)사로부터 시판되는 1,1,1-트리스(히드록시페닐)에탄 트리글리시딜 에테르를 들 수 있으나, 이에 국한되는 것은 아니다.
C-O 결합 함유 기를 가진 바람직한 접착 촉진제의 예로서는, 하나 이상의 카르복실산기를 함유하는 불포화 카르복실산의 에스테르가 있다. 구체적인 예로서는 3작용기 메타크릴레이트 에스테르, 3작용기 아크릴레이트 에스테르, 트리메틸프로판 트리아크릴레이트, 디펜타에리트리톨 펜타아크릴레이트 및 글리시딜 메타크릴레이트를 들 수 있다. 전술한 접착 촉진제들은 모두 사르토머(Sartomer)에서 시판하고 있다.
비닐기를 가진 바람직한 접착 촉진제의 예로서는, 비닐 시클릭 피리딘 올리고머 또는 중합체를 들 수 있으며, 여기서 시클릭 기는 피리딘, 방향족 또는 헤테로방향족 기이다. 유용한 예로서는, 레일리(Reilly)에서 시판하는 2-비닐피리딘과 4-비닐피리딘; 비닐 방향족 화합물; 및 비닐 퀴놀린, 비닐 카르바졸, 비닐 이미다졸 및 비닐 옥사졸을 포함하지만 이들에 제한되지 않는 비닐 헤테로방향족 화합물을 들 수 있으나, 이들에 국한되는 것은 아니다.
Si 함유 기를 가진 바람직한 접착 촉진제의 일례로서는, 일반 양도되어 공동계류중인 1999년 12월 23일자 미국 특허 출원 09/471299호에 개시된 폴리카르보실란을 들 수 있으며, 상기 특허 공보의 내용은 본 명세서에 참고 인용하였다. 상기 폴리카르보실란은 하기 화학식으로 표시된다:
상기 식에서 R20, R26 및 R29는 각각 독립적으로 치환 또는 비치환 알킬렌, 시클로알킬렌, 비닐렌, 알릴렌 또는 아릴렌을 나타내고; R21, R22, R23, R24, R27 및 R28은 각각 독립적으로 수소 원자 또는 알킬, 알킬렌, 비닐, 시클로알킬, 알릴 또는 아릴을 포함하는 유기 기를 나타내고, 선형 또는 분지형일 수 있으며; R25는 오르가노실리콘, 실라닐, 실록시 또는 유기 기를 나타내고; p, q, r 및 s는 [4≤p+q+r+s≤100,000]의 조건을 만족하는 수로서, q, r 및 s는 집합적으로 또는 독립적으로 0일 수 있다. 상기 유기 기는 18개 이하의 탄소 원자를 함유할 수 있지만, 일반적으로 약 1개 내지 약 10개의 탄소 원자를 함유한다. 유용한 알킬기로서는 -CH2- 및 -(CH2)t-를 들 수 있고, 이때 t>1이다.
본 발명의 바람직한 폴리카르보실란으로서는, 디히드리도폴리카르보실란을 들 수 있으며, 이때 R20은 치환 또는 비치환 알킬렌 또는 페닐이고, R21 기는 수소 원자이며, 폴라카르보실란 사슬에는 부가된 라디칼이 존재하지 않는데, 즉, q, r 및 s는 모두 0이다. 또 다른 바람직한 폴리카르보실란 기는 화학식 II중 R21, R22, R23, R24, R25 및 R28기들이 치환 또는 비치환 C2-C10 알케닐기인 것들이다. 상기 알케닐기는 에테닐, 프로페닐, 알릴, 부테닐 또는 탄소 원자 수가 10개 이하인 기타 불포화 유기 주쇄 라디칼이다. 상기 알케닐기는 특성상 디에닐일 수 있으며, 그 예로서는 다른 알킬 또는 불포화 유기 중합체 주쇄상에 부가 또는 치환된 불포화 알케닐 라디칼을 들 수 있다. 이와 같은 바람직한 폴리카르보실란의 예로서는, 디히드리도 또는 알케닐 치환된 폴리카르보실란, 예를 들면 폴리디히드리도카르보실란, 폴리알릴히드리도카르보실란 및 폴리디히드리도카르보실란과 폴리알릴히드리도카르보실란의 랜덤 공중합체를 들 수 있다.
더욱 바람직한 폴리카르보실란의 경우, 화학식 II의 R21기가 수소 원자이고, R21 이 메틸렌이며, 부가된 라디칼 q, r 및 s가 0이다. 본 발명의 다른 바람직한 폴리카르보실란 화합물은 상기 화학식 II중 R21과 R27이 수소 원자이고, R20과 R29가 메틸렌이며, R28이 알케닐이고, 부가된 라디칼 q와 r이 0인 화합물이다. 이러한 폴리카르보실란은 당분야에 잘 알려진 방법에 의해서 제조하거나, 폴리카르보실란 조성물의 제조원으로부터 입수할 수 있다. 가장 바람직한 폴리카르보실란에 있어서, 화학식 II의 R21 기는 수소 원자이고; R24는 -CH2이며; q, r 및 s는 0이고, p는 5 내지 25이다. 이와 같은 가장 바람직한 폴리카르보실란은 스타파이어 시스템즈 인크. (Starfire Systems, Inc.)로부터 입수할 수 있다. 가장 바람직한 폴리카르보실란의 구체적인 예는 하기 표에 제시한 바와 같다:
폴리카르보실란 | 중량 평균 분자량(Mw) | 다분산도 | 피크 분자량(Mp) |
1 | 400-1,400 | 2-2.5 | 330-500 |
2 | 330 | 1.14 | 320 |
3(10% 알릴기 함유) | 10,000-14,000 | 10.4-16 | 1160 |
4(75% 알릴기 함유) | 2,400 | 3.7 | 410 |
상기 화학식 II에서 알 수 있는 바와 같이, 본 발명에 사용되는 폴리카르보실란은 r>0일 경우 실록실기의 형태로 된 산화된 라디칼을 함유할 수 있다. 따라서, R25는 r>0일 경우 오르가노실리콘, 실라닐, 실록실 또는 유기 기를 나타낸다. 폴리카르보실란의 산화된 형태(r>0)는 본 발명에서 매우 유용하게 사용될 수 있으며, 본 발명의 목적에 잘 부합된다. 또한, r은 p, q 및 s와 독립적으로 0이 될 수 있으며, 그 유일한 조건은 화학식 II로 표시되는 폴리카르보실란의 라디칼 p, q, r 및 s가 [4<p+q+r+s<100,000]의 조건을 만족해야 한다는 것이며, q와 r은 집합적으로 또는 독립적으로 0을 나타낼 수 있다.
상기 폴리카르보실란은 여러 제조업체로부터 현재 시판되는 출발 물질로부터 통상의 중합 방법을 사용하여 제조할 수 있다. 폴리카르보실란의 합성법의 일례를 들면, 출발 물질을 통상의 오르가노실란 화합물로부터, 또는 출발 물질로서의 폴리실란으로부터, 폴리실란과 폴리보로실록산의 혼합물을 비활성 대기중에서 가열하여 상응하는 중합체를 생성하거나, 또는 폴리실란과 저분자량 카르보실란의 혼합물을 비활성 대기중에서 가열하여 상응하는 중합체를 생성하거나, 폴리실란과 저분자량 카르보실란의 혼합물을 비활성 대기중에서 폴리보로디페닐실록산과 같은 촉매의 존재하에 가열하여 상응하는 중합체를 제조하는 방법을 들 수 있다. 또한, 폴리카르보실란은 본 명세서에 참고 인용한 미국 특허 제 5,153,295호에 개시된 바와 같이 그리냐르(Grignard) 반응에 의해 합성할 수도 있다.
히드록실기를 가진 바람직한 접착 촉진제의 예를 들면 화학식 III, 즉, [R30C6H2(OH)(R31)]u-로 표시되는 페놀-포름알데히드 수지 또는 올리고머이며, 이때 상기 식중 R30은 치환 또는 비치환 알킬렌, 시클로알킬렌, 비닐, 알릴 또는 아릴이고; R31은 알킬, 알킬렌, 비닐렌, 시클로알킬렌, 알릴렌 또는 아릴이며; u는 3-100이다. 유용한 알킬기의 예로서는 -CH2- 및 -(CH2)v-를 들 수 있으며, 이때 v>1이다. 특히 유용한 페놀-포름알데히드 수지 올리고머는 분자량이 1500인 것으로서, 스케넥타디 인터내셔널 인크. (Schenectady International Inc.)에서 시판하고 있다.
본 발명에 의하면, 접착 촉진제는 소량의 유효량으로, 바람직하게는 본 발명의 열분해성 중합체의 중량을 기준으로 하여 약 1% 내지 약 10%, 더욱 바람직하게는 약 2% 내지 약 7%의 양으로 첨가된다.
기체 층 형성:
본 명세서에 사용된 용어 "분해"는 공유 결합의 파괴를 말한다. 이와 같은 결합의 파괴는 이종간 파괴 및 동종간 파괴를 비롯한 여러 가진 방식으로 일어날 수 있다. 결합의 파괴는 완전할 필요는 없다. 즉, 모든 파괴 가능한 결합이 절단될 필요는 없다. 또한, 결합의 파괴는 다른 결합에 비해 일부의 결합에서 더욱 빠르게 일어날 수 있다. 예를 들면, 에스테르 결합은 일반적으로 아미드 결합보다 덜 안정하므로, 보다 빠른 속도로 파괴된다. 또한, 결합의 파괴는 분해된 부분의 화학적 조성에 따라서 서로 다른 단편들을 방출시킬 수 있다.
기체 층 형성 방법에 있어서, 열분해성 중합체를 기재(이하에 설명함)에 부착시키고, 베이킹한 후에 경화시킬 수 있다. 바람직한 열분해성 중합체가 열가소성인 경우에, 경화 단계는 불필요할 수도 있다. 그러나, 바람직한 열분해성 중합체가 열경화성인 경우에, 경화 단계는 필수적이다. 본 발명의 중합체를 전자 기구의 윤곽을 갖는 기재에 부착시킨 후에, 코팅된 구조물을 약 50℃ 내지 약 350℃ 범위의 고온에서 베이킹 및 열 경화 처리하여 코팅을 중합시킨다. 경화 온도는 약 300℃ 이상이어야 하는데, 낮은 온도는 반응을 완결시키는데 불충분하기 때문이다. 열분해 이외의 분해 기법을 사용할 경우에, 보다 높은 경화 온도를 사용할 수 있다. 경화 단계는 전기로, 핫플레이트 등과 같은 통상의 경화 챔버에서 수행할 수 있으며, 일반적으로 경화 챔버내에서 불활성(비산화성) 대기(질소)하에 수행한다. 전기로 또는 핫플레이트를 사용하는 경화 방법 이외에, 본 발명의 조성물은 본 명세서에 참고문헌으로 인용되는 PCT/US96/08678호 및 미국 특허 제 6,042,994호, 제 6,080,526호, 제 6,177,143호 및 제 6,235,353호에 개시된 바와 같이, 자외선, 마이크로파 방사선 또는 전자빔 방사선에 노출시킴으로써 경화시킬 수도 있다. 비산화성 또는 비환원성 대기(예: 아르곤, 헬륨, 수소 및 질소 처리 가스)라면, 그것이 본 발명의 중합체를 경화시키는데 유효한 것인 한, 어느 것이라도 본 발명을 실시하는데 사용할 수 있다. 가교된 중합체를 사용하고자 하는 경우에, 중합 반응은 첨가된 열개시제 또는 광개시제의 존재 또는 부재하에 B-스테이지 과정에서 또는 스핀/베이킹/경화 과정이 진행되는 동안에 일어날 수 있다.
열에너지를 경화된 중합체에 가하여 열분해성 중합체를 그것의 출발 성분 또는 단량체로 실질적으로 분해시킨다. 본 명세서에서 사용한, "실질적으로 분해"라는 용어는, 바람직하게는 열분해성 중합체의 80 중량% 이상이 분해 또는 감성됨을 의미한다. 바람직한 폴리아세나프틸렌계 단독중합체 또는 공중합체 열분해성 중합체의 경우에, 본 발명자들은 열 탈착 질량 분광 분석법(Thermal Desorption Mass Spectroscopy)과 같은 분석 기법을 사용하여, 상기 열분해성 중합체가 그것의 아세나프틸렌 단량체 및 공단량체 출발 성분으로 분해, 감성 또는 탈중합됨을 확인하였다. 열분해시 다른 형태의 물리적 에너지의 도움을 받을 수 있으며, 그 예로서는 마이크로파, 음파, 자외선, 전자빔, 적외선 및 X선을 들 수 있으나, 이들에 국한되는 것은 아니다.
또한, 열에너지를 가하여 실질적으로 분해 또는 감성된 열분해성 중합체를 열경화성 성분 매트릭스로부터 휘발시킨다. 바람직하게는, 분해 단계와 휘발 단계에 동일한 열 에너지를 사용한다. 분해되고 휘발된 포로젠의 양이 증가함에 따라서, 마이크로전자 소자의 다공성이 증가한다.
기체 층에 인접한 유전층에 사용되는 경화 온도가 열분해성 중합체를 실질적으로 분해시키고 그것을 휘발시키는 것이 바람직하다. 전형적인 경화 온도와 조건이 이하의 "용도" 부분에 기재되어 있다.
이와 같이 형성된 기체 층의 두께는 약 0.1 내지 약 2 미크론인 것이 바람직하다. 마이크로전자 소자는 하나 이상의 기체 층을 가질 수 있다.
다른 방법으로, 반도체 소자의 나머지 부분에는 나쁜 영향을 미치지 않고 중합체를 적어도 부분적으로 제거할 수 있는 다른 절차 또는 조건을 사용할 수 있다. 중합체는 실질적으로 제거되는 것이 바람직하다. 전형적인 제거 방법으로서는, 방사선 노출, 예를 들면 자외선, X선, 레이저 또는 적외선과 같은 전자기 방사선에 대한 노출; 기계적인 에너지, 예를 들면 초음파 또는 물리적인 압력을 사용하는 방법; 입자 방사선, 예를 들면 감마선, 알파 입자, 중성자 빔 또는 전자짐을 사용하는 방법; 용매 추출/용해 방법, 예를 들면 기체상 처리 및 초임계 유체를 사용하는 방법; 또는 화학 에칭법, 예를 들면 기체, 증기, 초임계 유체 담지형 에칭 시약을 사용하는 방법을 들 수 있으나, 이들에 제한되는 것은 아니다.
유용성:
본 발명은 단일의 집적 회로(IC) 칩에 결합된 인터컨넥트에 사용될 수 있다. 집적 회로 칩은 일반적으로 그 표면상에 본 발명의 조성물로 이루어진 다수의 층과 금속 전도체로 된 다수의 층을 갖는다. 또한, 집적 회로 칩은 불연속된 금속 전도체들 사이의 본 발명의 조성물로 된 영역, 또는 집적 회로의 동일한 층 또는 높이에 위치한 전도체의 영역들을 포함할 수 있다.
본 발명의 범위내에서 사용되는 기재는 바람직하게는 실질적으로 고형인 재료로 이루어질 수 있다. 구체적으로 바람직한 기재 층으로서는, 필름, 유리, 세라믹, 플라스틱, 금속 또는 코팅된 금속 또는 복합 재료를 들 수 있다. 바람직한 실시예에서, 기재는 실리콘 또는 갈륨 비소 다이 또는 웨이퍼 표면; 구리, 은, 니켈 또는 금 도금된 리드프레임(leadframe)에서 발견되는 것과 같은 패키징 표면; 회로판 또는 패키지 접속 트레이스, 비아-월(via-wall) 또는 스티프너(stiffener) 계면에서 발견되는 것과 같은 구리 표면 ("구리 "는 순수한 구리 및 그 산화물을 모두 포함함); 폴리이미드계 가요성 패키지, 리드에서 발견되는 것과 같은 중합체로 된 패키징 또는 보드 계면; 또는 기타 금속 합금 납땜 볼 표면, 유리 및 중합체를 포함한다. 유용한 기재로서는, 실리콘, 실리콘 나이트라이드, 실리콘 옥사이드, 실리콘 옥시카바이드, 실리콘 디옥사이드, 실리콘 카바이드, 실리콘 옥시나이트라이드, 질화티탄, 질화탄탈, 질화텅스텐, 알루미늄, 구리, 탄탈, 유기실록산, 유기실리콘 유리 및 플루오르화된 실리콘 유리를 들 수 있다. 다른 실시예에서, 기재는 패키징 및 회로판 산업 분야에 통상 사용되는 재료, 예를 들면 실리콘, 구리, 유리 및 중합체를 포함한다. 본 발명의 조성물은 마이크로칩 및 멀티칩 모듈에서 유전성 기재 재료로서 사용될 수 있다.
본 발명은 집적 회로 제작에 사용되는 이중 상감기법(dual damascene)(예: 구리) 및 감법(substractive) 금속 처리(예: 알루미늄 또는 알루미늄/텅스텐)에 사용될 수 있다. 본 발명의 조성물은, 본 명세서에 참고로 인용한 문헌 [Michael E. Thomas, Ph. D., "Spin-On Stacked Films for Low keff Dielectrics", Solid State Technology (2001년 7월)]에 개시된 바와 같이, 소정의 모든 스핀-온 적층 형 필름에 사용될 수 있다. 기존의 유전성 재료, 예를 들면 무기, 유기 또는 유기/무기 혼성 재료를 본 발명에 사용할 수 있다. 구체적인 예로서는, 페닐에티닐화 방향족 단량체 또는 올리고머; 플루오르화 또는 비플루오르화된 폴리(아릴렌 에테르), 예를 들면 공동으로 양도된 미국 특허 제 5,986,045호, 제 6,124,421호, 제 6,291,628호 및 6,303,733호에 개시된 것; 비스벤조시클로부텐; 및 일반 양도된 미국 특허 제 6,143,855호와 계류중인 미국 특허 출원 10/078,919호(2002.2.19자 출원) 및 10/161561호(2002.6.3자 출원)에 개시된 바와 같은 유기실록산; 허니웰 인터내셔널 인코포레이티드 (Honeywell International Inc.)에서 시판하는 HOSP® 제품; 나노다공성 실리카, 예를 들면 공동으로 양도된 미국 특허 제 6,372,666호에 개시된 것; Honeywell International Inc.에서 시판하는 NANOGLASS® E 제품; 공동으로 양도된 WO01/29052호에 개시된 바와 같은 유기실세스퀴옥산; 및 미국 특허 제 6,440,550호에 개시된 바와 같은 플루오로실세스퀴옥산을 들 수 있으며, 상기 참고 자료의 내용은 모두 본 명세서에 참고문으로 인용된다. 기타 유용한 유전성 재료는, 공동으로 양도되어 계류중인 2001년 10월 17일자 특허 출원 PCT/US01/22204호(본 출원인의 계류중인, 2000년 4월 7일자 미국 특허 출원 09/545058호, 2000년 7월 19일자 미국 특허 출원 09/618945호, 2001년 7월 5일자 미국 특허 출원 09/897936호 및 2001년 7월 10일자 미국 특허 출원 09/902924호, 및 2001년 10월 18일자 국제 출원 공개 WO 01/78110호를 우선권 주장); 2001년 12월 31일자 국제 출원 PCT/US01/50812호; 2002년 5월 30일자 미국 특허 출원 60/384304호; 2002년 1월 8일자 미국 특허 출원 60/347195호; 2002년 5월 30일자 미국 특허 출원 60/384303호; 2002년 1월 15일자 미국 특허 출원 60/350187호 및 2002년 5월 30일자 미국 특허 출원 10/160773호; 및 2002년 5월 30일자 미국 특허 출원 10/158513호 및 2002년 5월 30일자 미국 특허 출원 10/158548호에 제시되어 있으며, 상기 특허 공보들의 내용은 모두 본 명세서에 참고문헌으로 인용된다. 이와 같은 유전성 재료들은 에치 스탑 (etch stop) 및 하드 마스크 (hard mask)로서 사용될 수 있다. 본 발명에 사용될 수 있는 하단 반사방지 코팅은, 허니웰 인터내셔널 인코포레이티드 (Honeywel International Inc.)에서 시판하는 DUOTM 반사방지 코팅 재료이며, 공동으로 양도된 미국 특허 제 6,248,457호, 제 6,365,765호 및 제 6,368,400호에 개시되어 있다.
발명의 개요
본 발명은, 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만이고, 유리하게는 보다 낮은 k유효 값을 제공하며 보다 균일한 기체 층을 형성하는 재료 및 방법을 제공함으로써, 전술한 바와 같은 당해 기술분야의 요건에 부응한다. 또한, 본 발명의 재료는 우수한 기계적 성질, 접착력, 화학적 안성성과 열 안정성, 일정 범위의 수득가능한 필름 두께, 낮은 기체방출(outgassing), 열 분해 이후의 낮은 k유효 값, 및 요구되는 반도체 제조 조건하에서의 집적에 사용하는데 관심을 끄는 재료가 되게 하는 분해 프로파일을 나타낸다.
본 발명은, 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 (B-staged) 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택된 기체 층 형성 재료를 제공한다. 상기 재료는 300℃에서 1시간 동안 방치한 이후에, 중량 손실율이 2% 미만인 것이 바람직하다.
또한, 본 발명은 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택된 재료를 사용하는 단계를 포함하여 기체 층을 형성하는 방법을 제공한다. 상기 재료는 300℃에서 1시간 동안 방치한 이후에, 중량 손실율이 2% 미만인 것이 바람직하다.
본 발명은 하기 단계 (a) 내지 (c)를 포함하는 방법을 제공한다:
(a) 층간 유전층에, (i) 유리 전이 온도가 약 200℃ 보다 높고, (ii) 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만이며, (iii) 분해 온도가 약 350℃ 보다 높은 중합체를 혼입시키는 단계;
(b) 상기 중합체를 약 350℃ 보다 높은 온도로 가열하는 단계; 및
(c) 상기 층간 유전층으로부터 가열된 중합체를 제거하는 단계.
또한, 본 발명은 하기 단계 (a) 내지 (c)에 의해서 형성된 기체 층을 포함하는 마이크로칩(microchip)을 제공한다:
(a) (i) 유리 전이 온도가 약 200℃ 보다 높고, (ii) 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만이며, (iii) 분해 온도가 약 350℃ 보다 높은 중합체의 층을 형성하는 단계;
(b) 상기 중합체 층을 분해시키는 단계; 및
(c) 분해된 중합체 층을 휘발시켜서 상기 기체 층을 형성하는 단계.
분석 시험 방법:
시차 주사 열량 분석(DSC): DSC 측정 방법은 제어 장치와 관련 소프트 웨어를 결합한 TA 기기 2920 시차 주사 열량 분석계를 사용하여 수행하였다. 표준 DSC 셀을 온도 범위 250℃ 내지 725℃에서 (불활성 대기: 질소 50 ml/분) 사용하여 분석하였다. 액상 질소를 냉각 기체원으로서 사용하였다. 소량의 샘플(10-12 mg)을 정확도 ±0.0001 그램인 메틀러 톨레도(Mettler Toledo) 분석 천칭을 사용해서 면밀하게 평량하여 오토 DSC 알루미늄 샘플 팬(품목 번호 990999-901)에 넣었다. 팬을 사전에 기체 제거를 위해 중앙부를 천공한 뚜겅으로 덮어서 샘플을 봉하였다. 샘플을 질소 대기하에 0℃에서 450℃로, 100℃/분의 속도로(사이클 1) 가열한 후에, 100℃/분의 속도로 0℃까지 냉각시켰다. 제 2 사이클에서는 즉시 0℃에서 450℃로 100℃/분의 속도로 가열하였다(사이클 1의 반복). 가교 온도는 제 1 사이클에 의해 결정하였다.
유리 전이 온도(Tg): 박막의 유리 전이 온도는 박막의 응력을 온도의 함수로서 측정함으로써 결정하였다. 박막 응력의 측정은 KLA 3220 플렉서스(Flexus)상에서 수행하였다. 필름의 응력을 측정하기에 앞서, 코팅되지 않은 웨이퍼를 500℃에서 60분동안 어닐링하여 웨이퍼 자체에서의 응력 이완에 기인하는 오차를 방지하였다. 이어서, 웨이퍼를 시험하고자 하는 재료와 증착시키고, 모든 필요한 처리 단계를 통해서 처리하였다. 이어서, 웨이퍼를 응력 게이지에 놓고, 웨이퍼 굴곡을 온도의 함수로서 측정하였다. 웨이퍼 두께와 필름 두께를 안다는 전제 하에, 기기에 의해 응력 대비 온도 그래프를 작성하였다. 그 결과를 그래프 형태로 나타내었다. Tg 값을 결정하기 위해서, 수평 접선을 그렸다(응력 대비 온도 그래프상의 기울기 0). Tg 값은 그래프와 수평 접선이 교차하는 지점으로 하였다.
Tg를 제 1 온도 사이클 이후에 또는 후속 사이클 이후에 측정한 경우에는, 최고 온도를 사용하였는데, 그 이유는 측정 절차 자체가 Tg 값에 영향을 미칠 수 있기 때문이다.
등온 열중량 분석(ITGA)에 의한 중량 손실: 총 중량 손실은 TA 기기 열분석 제어 장치 및 관련 소프트웨어와 결합된 TA 기기 2950 열중량 분석기(TGA)상에서 측정하였다. 플래티넬(Platinel) II 열전쌍 및 온도 범위가 25℃ 내지 1000℃이고 가열 속도가 0.1℃ 내지 100℃/분인 표준 노를 사용하였다. 소량의 샘플(7 내지 12 mg)을 TGA의 천칭(분해도: 0.1? g; 정확도: = 내지 ±0.1%)상에서 평량하고, 백금 팬상에서 가열하였다. 샘플을 질소 대기하에 100 ml/분의 퍼어지 속도로 (요로에서 60 ml/분으로 시작해서 천칭까지 40 ml/분) 가열하였다. 샘플을 20℃에서 20분동안 질소하에 평형 상태로 만들고, 이어서 온도를 10℃/분의 속도로 200℃까지 상승시킨 후에 10분동안 200℃에 방치해두었다. 중량 손실을 계산하였다.
굴절율: 굴절율의 측정은 제이. 에이. 울람 (J.A. Woollam) M-88 분광 분석용 편향해석 장치(ellipsometer)를 사용하여 두께 측정과 함께 수행하였다. 카우치(Cauchy) 모델을 사용하여 Psi(Υ) 및 Delta(Δ)에 대한 최적값을 계산하였다. 특별한 언급이 없는한, 굴절율은 파장 633 nm에서의 수치로 보고하였다(편향 해석에 관한 상세한 내용에 관해서는 문헌 ["Spectroscopic Ellipsometry and Reflectometry", H.G. Thompkins 및 William A. McGahan, John Wiley and Sons, Inc., 1999]를 참조할 수 있다).
모듈러스 및 경도: 모듈러스 및 경도는 기기화된 만입(indentation) 시험법을 사용하여 측정하였다. 측정은 MTS 나노인덴터(Nanoindenter) XP(MTS Systems Corp., 오클지, 테네시)를 사용하여 수행하였다. 구체적으로, 연속적인 경도 측정법을 사용하였으며, 그 방법에 의해서 무하중 상태의 곡선으로부터 불연속 값을 측정하는 것이 아니라 모듈러스와 경도를 정확하고 연속적으로 측정할 수 있었다. 상기 시스템은 공칭 모듈러스가 72±3.5 GPa인 발연 실리카를 사용해서 검정하였다. 발연 실리카에 대한 모듈러스는 만입 깊이 500 내지 1000 nm의 평균치로부터 구하였다. 박막의 경우에는, 모듈러스와 경도 수치를 모듈러스 대비 깊이 곡선의 최소값으로부터 얻었으며, 그 값은 대개 필름 두께의 5 내지 15%이다.
열 팽창 계수: 사용된 기기는 1) 필름을 스핀 코팅하고 베이킹하기 위한 SVG 스핀 코팅기; 2) 웨이퍼를 경화시키기 위한 코스모스(Cosmos) 노; 3) 베이킹 및 경화후 두께 측정을 위한 울람(Woollam) M-88 편향 해석 장치; 및 4) 응력 온도 및 CTE 측정을 위한 텐커(Tencor) FLX-2320(응력 게이지)였다. CTE 측정을 위해서는 2종의 상이한 기재가 필요하다. 이 경우에, 실리콘(Si) 및 갈륨 비소(GaAs) 기재를 사용하였다. Si 및 GaAs 기재의 박판을 요로에서 500℃하에 60분동안 어닐링 처리하였다. 요로에서 어닐링한 후에, 실온 배경 응력을 2종의 기재에 대해 모두 측정하였다. 필름을 SVG 스핀 코팅기 상에서 사전 어닐링 처리된 웨이퍼상에 피복하고, 이어서 핫플레이트상에서 125℃, 200℃ 및 350℃하에 각각 60초동안 베이킹 처리하였다. 베이킹후 두께 및 굴절율의 측정은 울람(Woollam) 편향 해석 장치를 사용하여 수행하였다. 웨이퍼를 코스모스(Cosmos) 노 R-4를 사용하여 400℃에서 60분동안 경화시켰다. 경화후 두께 및 굴절율의 측정은 울람 편향 해석 장치를 사용하여 수행하였다. 응력 온도 측정은 FLX-2320상에서 수행하였다. 응력 온도 측정의 경우에는, 일정한 온도 변화율을 갖는 것이 중요하다. 온도는 실온에서 450℃까지 5℃/분의 비율로 이동시켰다.
데이터 분석은 FLX-2320 시스템상에서 분석 소프트웨어를 사용하여 수행하였다. 응력 온도 데이터 파일로부터, 각 기재에 대해 하나씩 2가지 그래프를 만들었다. 파일 경로와 이름을 분석 메뉴로부터 탄성 및 팽창 디스플레이상에 복사하였다. 2개의 파일을 모두 탄성 및 팽창 디스플레이상에 복사하였다. CTE 계산은 FLX-2320 소프트웨어를 사용하여 수행하였으며, 하기 수학식 1과 같은 관계식을 사용하였다:
상기 식중, dδ/dT는 응력 대비 온도의 도함수이고(측정치);
(E/(1-υ))f는 필름의 이축 모듈러스이고(미지값);
αs는 기재의 열팽창 계수이고(기지값);
αf는 필름의 열팽창 계수이다(미지값).
필름 및 Si와 GaAs 기재에 대한 평균 CTE 및 이축 모듈러스 값은 대화 상자안에 표시되어 있다. 필름에 대한 측정치를 CTE 및 이축 모듈러스 값으로서 보고하였다.
열 탈착 질량 분광 분석: 열 탈착 질량 분광 분석법(TDMS)을 사용하여 재료를 열 처리하는 동안에 탈착되는 화학종들을 분석함으로써 재료의 열 안정성을 측정하였다.
TDMS 측정은 웨이퍼 가열기 및 웨이퍼 정면에 가깝게 배치된 질량 분광 분석기를 구비한 고진공 시스템에서 수행하였다. 웨이퍼를 배면으로부터 가열하는 가열 램프를 사용하여 웨이퍼를 가열하였다. 웨이퍼 온도를 열전쌍을 통해 측정하였으며, 이때 열전쌍은 웨이퍼의 정면과 접촉되어 있다. 가열 램프와 열전쌍을 수 회의 온도 변화 및 침지 사이클이 허용되는 프로그래밍 가능한 온도 제어기에 연결하였다. 질량 분광 분석기는 하이덴(Hiden) 분석용 HAL IV RC RGA 301이었다. 질량 분광 분석계와 온도 제어기를 둘다 컴퓨터에 연결하였으며, 컴퓨터를 통해 질량 분광 분석기 및 시간에 따른 온도 신호를 해독하여 기록하였다.
TDMS 분석을 수행하기 위해서, 재료를 먼저 표준 처리 방법을 사용하여 8인치 웨이퍼상에 박막 형태로 부착시켰다. 이어서, 웨이퍼를 TDMS 진공 시스템에 놓고, 시스템을 1e-7토르 이하의 압력으로 끌어 내렸다. 이어서, 온도 제어기를 사용하여 온도를 변화시키기 시작하였다. 온도 및 질량 분광 분석기 신호를 컴퓨터를 통해 기록하였다. 온도 변화율이 약 10℃/분의 변화율을 이용한 전형적인 측정 방법의 경우에, 완전하게 질량 분석을 스캐닝하고 온도 측정치를 20초마다 기록하였다. 주어진 시간의 질량 스펙트럼과 주어진 시간의 온도는 측정을 완료한 후에 분석할 수 있다.
평균 소공 크기 직경: 다공성 샘플의 N2 등온 곡선을 UHP(초고순도 공업 가스) N2를 사용하는 마이크로머레틱스(Micromeretics) ASAP 2000 자동 등온 N2 수착 기기상에서 측정하였으며, 이 때 샘플을 77°K의 액상 N2 배쓰내의 샘플 튜브에 담갔다.
샘플을 조제하기 위해서, 재료를 먼저 통상의 처리 방법에 의해 실리콘 웨이퍼상에 부착시켰다. 각 샘플에 대하여, 3개의 웨이퍼를 필름 두께를 약 6000Å으로 해서 준비하였다. 이어서, 레이저 블레이드 (razor blade)를 사용해서 필름을 웨이퍼로부터 제거하여 분말 샘플을 만들었다. 이러한 분말 샘플들을 180℃하에 오븐에서 사전 건조시킨 다음, 그 샘플들을 평량해서, 내경이 10 mm인 샘플 튜브내로 조심스럽게 주입하고, 이어서 180℃에서 0.01 토르하에 >3시간동안 탈기 처리하였다.
이어서, N2 흡착 및 탈착 등온 곡선을 자동적으로, 분석 결과 보다 긴 시간을 요구하지 않는 한, 5초의 평형 기간 간격을 두고 측정하였다. 등온 곡선을 측정하는데 필요한 시간은 샘플의 질량, 샘플의 소공 부피, 측정된 데이터 지점의 수, 평형 기간 간격 및 P/Po 공차(P는 샘플 튜브내의 샘플의 실제 압력이고, Po는 기기 외부의 주위 압력이다)에 비례하였다. 기기에 의해서 N2 등온 곡선을 측정하고, N2 대비 P/Po 그래프를 작성하였다.
겉보기 BET(문헌 [S. Brunauer, P.H. Emmett, E. Teller; J. Am. Chem. Soc. 60, 309-313 (1938)에 개시된 고체 표면상의 다층 기체 흡착을 위한 Brunauer, Emmett, Teller 방법) 표면적은, BET 이론을 사용해서, R2 최적값>0.9999를 제공하는 BET 방정식의 선형 부분에 따라 N2 흡착 등온 곡선의 하부 P/Po 영역으로부터 계산하였다.
소공 부피는, 응축이 완전히 일어난 등온 곡선의 평탄역에 존재하는, 대개는 P/Po ∼ 0.95인 상대적인 P/Po 압력하에서 흡착된 N2의 부피로부터 계산하였으며, 이때 흡착된 N2는 액상 N2와 같고 모든 소공은 상기 P/Po에서 응축된 N2로 채워진다는 가정하에 계산하였다.
소공 크기 분포는 BJH 이론(E.P. Barret, L.G. Joyner, P.P. Halenda; J. Am. Chem. Soc., 73, 373-380 (1951))을 사용하여 N2 등온 곡선의 흡착부로부터 계산하였다. 이때, 곡률을 증기압의 억제와 결부시키는 켈빈(kelvin) 방정식, 및 흡착된 N2 단일층 두께 대비 P/Po의 관계를 설명하는 핼시(Halsey) 방정식을 사용하여, 응축된 N2 부피 대비 P/Po 관계를 특정 범위의 소공 크기에 있어서의 소공 부피로 전환시킨다.
평균 원통형 소공 직경 D는 샘플과 동일한 BET 표면적 Sa(m2/g) 및 소공 부피 Vp(cc/g)을 갖는 원통의 직경으로서, 즉, D(nm)= 4000 Vp/Sa이다.
비교예:
문헌 [Hollie Reed 등, "Porous Dielectrics and Air-Gaps Created by Sacrificial Placeholders", International SEMATECH Ultra Low k Workshop (2002, 6월 6-7일)]에는 하기 화학식으로 표시되는 폴리노르보르넨 공중합체가 개시되어 있다:
상기 식에서 R32는 알킬 또는 트리에톡시실릴이다. 상기 폴리노르보르넨 공중합체의 특성을 하기 표 3 및 도 1과 도 2에 나타내었다.
특성 | 세부 내용 | PNB1 | PNB2 |
중량손실율% | 0-250℃ | 1.150 | 1.461 |
사이클 1 | 250℃에서 10분동안 | 0.0929 | 0.2124 |
250-300℃ | 0.03057 | 0.526 | |
300℃에서 1 시간동안 | 4.124 | 7.921 | |
중량손실율% | 0-250℃ | 1.19 | 1.572 |
사이클 2 | 250℃에서 10분동안 | 0.01 | 0.08 |
250-425℃ | 28.99 | 29.81 | |
425℃에서 1 시간동안 | 67.79 | 66.36 | |
합계 | 97.98 | 97.822 |
PNB1을 Si계 기재에 도포하고 베이킹시켰다. 베이킹된 필름의 특성은 하기 표 4에 나타낸 바와 같다.
특성 | PNB1 | PNB2 |
두께(Å) | 5108.80 | 5512.41 |
굴절율(633 nm에서) | 1.5752 | 1.5676 |
필름 품질 | 양호 | 양호 |
모듈러스(Gpa) | 7.000 | 7.078 |
경도(Gpa) | 0.371 | 0.374 |
PNB1 대신에 PNB2를 사용하는 것을 제외하고는, 상기 절차를 반복하였다.
상기 PNB1은 산화물계 기재에 도포하였다. 도포된 재료를 베이킹시키고(150℃, 250℃, 350℃에서 각각 1분), 이어서 분해시켰다(425℃/1 시간). 베이킹된 필름의 특성은 하기 표 5에 나타낸 바와 같다.
처리 단계 | 특성 | PNB1 | PNB2 |
베이킹후 | 두께 | 4726.9 | 8572.3 |
굴절율(633 nm에서) | 1.5972 | 1.6019 | |
SiO2 | - | - | |
필름 품질 | 육안 확인 | 양호 | 양호 |
분해후 | 두께 | 1971.5 | 3781.6 |
굴절율(633 nm에서) | 1.8184 | 1.7839 | |
SiO2 | - | - | |
전도도(4개소 탐침) | 검출 불가능 | 검출 불가능 |
실시예 1- 아세나프틸렌과 비닐 피발레이트의 공중합체 제조
아세나프틸렌과 비닐 피발레이트를 포함하는 열분해성 중합체를 다음과 같이 제조하였다. 자기 교반기를 구비한 250 ml 플라스크에, 기술 등급의 아세나프틸렌 20 g, 비닐 피발레이트 3.1579 g(0.0246 몰), 디-t-부틸 아조디카르복실레이트 0.5673 g(2.464 밀리몰) 및 크실렌 95 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 10분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 퍼어지하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 140℃에서 질소하에 6시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 237 ml내로 적가하였다. 그 혼합물을 실온에서 20분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하여 진공하에 건조시켰다. 얻어진 공중합체의 특성은 상기 표 2에 공중합체 18로서 나타내었다. 사용되는 공단량체 백분율, 개시제 유형 및 사용 백분율 및 반응 시간과 온도를 상기 표 2에 기재된 바와 같이 변화시키는 것을 제외하고는 유사한 방식에 따라서, 아세나프틸렌과 비닐 피발레이트의 공중합체를 포함하는 다른 열분해성 중합체를 제조하였다.
표 2의 공중합체 1로부터 층을 제조한 후에 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고, 분해된 층을 휘발시켜서 기체 층을 형성하였다. 이와 같은 절차를 표 2의 각 공중합체에 대하여 반복하였다.
실시예 2- 아세나프틸렌과 t-부틸 아크릴레이트의 공중합체 제조
아세나프틸렌과 t-부틸 아크릴레이트를 포함하는 열분해성 중합체를 다음과 같이 제조하였다. 자기 교반기를 구비한 250 ml 플라스크에, 기술 등급의 아세나프틸렌 20 g, t-부틸 아크릴레이트 2.5263 g(0.01971 몰), 2,2'-아조비스이소부티로니트릴 0.3884 g(2.365 밀리몰) 및 크실렌 92 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 10분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 퍼어지하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 70℃에서 질소하에 24시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 230 ml내로 적가하였다. 그 혼합물을 실온에서 20분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하여 진공하에 건조시켰다. 얻어진 공중합체의 특성은 상기 표 2에 공중합체 2로서 나타내었다. 사용되는 공단량체 백분율, 개시제 유형 및 사용 백분율 및 반응 시간과 온도를 상기 표 2에 기재된 바와 같이 변화시키는 것을 제외하고는 유사한 방식에 따라서, 아세나프틸렌과 t-부틸 아크릴레이트의 공중합체를 포함하는 다른 열분해성 중합체를 제조하였다.
실시예 3- 아세나프틸렌과 비닐 아세테이트의 공중합체 제조
아세나프틸렌과 비닐 아세테이트를 포함하는 열분해성 중합체를 다음과 같이 제조하였다. 자기 교반기를 구비한 250 ml 플라스크에, 기술 등급의 아세나프틸렌 20 g, 비닐 아세테이트 1.6969 g(0.01971 몰), 2,2'-아조비스이소부티로니트릴 0.3884 g(2.365 밀리몰) 및 크실렌 88 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 10분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 퍼어지하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 70℃에서 질소하에 24시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 220 ml내로 적가하였다. 그 혼합물을 실온에서 20분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하여 진공하에 건조시켰다. 얻어진 공중합체의 특성은 상기 표 2에 공중합체 18로서 나타내었다. 사용되는 공단량체 백분율을 변화시키는 것을 제외하고는 유사한 방식에 따라서, 아세나프틸렌과 비닐 아세테이트의 공중합체를 포함하는 다른 열분해성 중합체를 제조하였다. 얻어진 공중합체의 특성은 상기 표 2에 공중합체 19로서 나타내었다.
실시예 4- 폴리아세나프틸렌 단독중합체 제조
아세나프틸렌의 중합체를 다음과 같이 제조하였다. 자기 교반기를 구비한 250 ml 플라스크에, 기술 등급의 아세나프틸렌 30 g, 디-t-부틸아조디카르복실레이트 0.3404 g(1.478 밀리몰) 및 크실렌 121 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 10분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 세정하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 140℃에서 질소하에 6시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 303 ml내로 적가하였다. 그 혼합물을 실온에서 20분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하여 진공하에 건조시켰다. 얻어진 공중합체의 특성은 하기 표 6에 단독중합체 1로서 나타내었다. 표 6에서, DBADC는 디-t-부틸 아조디카르복실레이트를 나타내고, PDI는 다분산도(Mw/Mn)을 나타낸다. 사용되는 개시제 유형과 백분율 및 반응 시간과 온도를 표 6에 기재된 바와 같이 변화시키는 것을 제외하고는 유사한 방식에 따라서, 폴리아세나프틸렌을 포함하는 다른 열분해성 중합체를 제조하였다. 또한, 표 6에서, AIBN은 2,2'-아조비스이소부티로니트릴을 나타낸다.
단독중합체 | 개시제유형 | 개시제% | 용매 | 온도(℃) | 시간(hr) | Mn | Mw |
1 | DBADC | 1% | 크실렌 | 140 | 6 | 3260 | 14469 |
2 | DBADC | 2% | 크실렌 | 140 | 6 | 2712 | 11299 |
3 | DBADC | 3% | 크실렌 | 140 | 6 | 3764 | 14221 |
4 | DBADC | 4% | 크실렌 | 140 | 6 | 3283 | 8411 |
5 | DBADC | 6% | 크실렌 | 140 | 6 | 2541 | 7559 |
6 | DBADC | 8% | 크실렌 | 140 | 6 | 2260 | 6826 |
7 | DBADC | 12% | 크실렌 | 140 | 6 | 2049 | 5805 |
8 | DBADC | 16% | 크실렌 | 140 | 6 | 2082 | 5309 |
9 | DBADC | 20% | 크실렌 | 140 | 6 | 1772 | 4619 |
10 | DBADC | 30% | 크실렌 | 140 | 6 | 1761 | 33664 |
11 | AIBN | 2% | 크실렌 | 70 | 24 | 3404 | 7193 |
12 | AIBN | 2% | 크실렌 | 70 | 24 | 3109 | 6141 |
13 | AIBN | 2% | 크실렌 | 70 | 24 | 3500 | 7295 |
14 | AIBN | 2% | 크실렌 | 70 | 24 | 3689 | 6165 |
실시예 5- 폴리아세나프틸렌 단독중합체 제조
자기 교반기를 구비한 2000 ml 플라스크에, 기술 등급의 아세나프틸렌 200 g, 디-t-부틸아조디카르복실레이트 0.4539 g(1.917 밀리몰) 및 크실렌 800 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 20분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 세정하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 140℃에서 질소하에 6시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 2000 ml내로 적가하였다. 그 혼합물을 실온에서 30분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하였다. 이어서, 침전물을 에탄올 2000 ml에 넣고 그 혼합물을 상부 교반기를 사용해서 실온하에 30분동안 교반시켰다. 형성된 침전물을 여과에 의해 수집하였다. 상기 세척 절차를 2회 이상 반복하였다. 형성된 침전물을 여과에 의해 수집하여 밤새 후드에서 공기 건조시켰다. 이어서, 공기로 건조시킨 백색 침전물을 감압하에 50℃에서 더 건조시켰다.
실시예 6- 폴리비닐노르보르넨의 제조
자기 교반기를 구비한 500 ml 플라스크에, 5-비닐-2-노르보르넨(95% 순도, 순수한 5-비닐-2-노르보르넨 0.3952몰에 해당함) 50 g, 2,2'-아조비스이소부티로니트릴 0.1298 g(0.7903 mmol) 및 크실렌 201 ml을 첨가하였다. 그 혼합물을 실온에서 균일한 용액이 얻어질 때까지 20분동안 교반시켰다. 이어서, 반응 용액을 저온에서 5분동안 탈기시키고, 질소로 퍼어지하였다. 상기 절차를 3회 반복하였다. 이어서, 반응 혼합물을 70℃에서 질소하에 24시간동안 가열하였다. 그 용액을 실온으로 냉각시켜서 에탄올 500 ml내로 적가하였다. 그 혼합물을 실온에서 30분동안 더 계속 교반시켰다. 형성된 침전물을 여과에 의해 수집하였다. 이어서, 침전물을 에탄올 500 ml에 넣고 그 혼합물을 상부 교반기를 사용해서 실온하에 30분동안 교반시 c다. 형성된 침전물을 여과에 의해 수집하였다. 상기 세척 절차를 1회 이상 반복하였다. 형성된 침전물을 여과에 의해 수집하여 밤새 후드에서 공기 건조시켰다. 이어서, 공기로 건조시킨 백색 침전물을 감압하에 50℃에서 더 건조시켰다.
층을 제조하고 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고 분해된 층을 휘발시켜서 기체 층을 형성시켰다.
실시예 7- 폴리노르보르넨-코-아세나프틸렌의 제조
폴리노르보르넨-코-아세나프틸렌은, 문헌 [April D. Hennis, Jennifer D. Polley, Gregory S. Long, Ayusman Sen, Dmitry Yandulov, John Lipian, Geroge M. Benedikt 및 Larry F. Rhodes Organometallics 2001, 20, 2802]에 기재된 절차에 따라서 제조할 수 있다. 자기 교반기와 질소 유입구 및 배출구를 구비한 500 ml 3목 플라스크에, 5-페닐-2-노르보르넨 25.00 g(0.1468 mol), 아세나프틸렌 29.80 g 및 디클로로메탄 274 ml를 첨가하였다(혼합물 A). 상기 혼합물(A)을 실온에서 균일한 용액이 얻어질 때까지 교반하였다. 65 ml 플라스틱 용기에, [(1,5-시클로옥타디엔)Pd(CH3)(Cl)] 0.0778g(0.2937 mmol), PPh3 0.0770 g(0.2937 mmol), Na[3,5-(CH3)2C6H3]4B 0.2603 g(0.2937 mmol) 및 디클로로메탄 31 ml를 첨가하였다(혼합물 B). 상기 혼합물(B)를 실온에서 균일한 용액이 얻어질 때까지 진탕시켰다, 이어서, 상기 혼합물(B)를 질소하에 혼합물(A)에 첨가하고, 반응 혼합물을 질소하에 강력하게 교반시키면서 24 시간동안 가열 환류시켰다. 이어서 용액을 메탄올 548 ml중에 침전시켰다. 중합체를 여과에 의해서 수집하여 감압하에 건조시켰다.
층을 제조하고 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고 분해된 층을 휘발시켜서 기체 층을 형성시켰다.
실시예 8- 폴리노르보르넨-코-인덴의 제조
폴리노르보르넨-코-인덴은, 문헌 [April D. Hennis, Jennifer D. Polley, Gregory S. Long, Ayusman Sen, Dmitry Yandulov, John Lipian, Geroge M. Benedikt 및 Larry F. Rhodes Organometallics 2001, 20, 2802]에 기재된 절차에 따라서 제조할 수 있다. 자기 교반기와 질소 유입구 및 배출구를 구비한 500 ml 3목 플라스크에, 5-페닐-2-노르보르넨 25.00 g(0.1468 mol), 인덴 17.06 g (0.1468 mol) 및 디클로로메탄 210 ml 를 첨가하였다(혼합물 A). 상기 혼합물(A)을 실온에서 균일한 용액이 얻어질 때까지 교반하였다. 65 ml 플라스틱 용기에, [(1,5-시클로옥타디엔)Pd(CH3)(Cl)] 0.0778g(0.2937 mmol), PPh3 0.0770 g(0.2937 mmol), Na[3,5-(CH3)2C6H3]4B 0.2603 g(0.2937 mmol) 및 디클로로메탄 31 ml를 첨가하였다(혼합물 B). 상기 혼합물(B)를 실온에서 균일한 용액이 얻어질 때까지 진탕시켰다, 이어서, 상기 혼합물(B)를 질소하에 혼합물(A)에 첨가하고, 반응 혼합물을 질소하에 강력하게 교반시키면서 24 시간동안 가열 환류시켰다. 이어서 용액을 메탄올 420 ml중에 침전시켰다. 중합체를 여과에 의해서 수집하여 감압하에 건조시켰다.
층을 제조하고 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고 분해된 층을 휘발시켜서 기체 층을 형성시켰다.
실시예 9- 폴리(5-페닐-2-노르보르넨-코-5-트리에톡시실릴-2-노르보르넨-코-아세나프틸렌)의 제조
폴리(5-페닐-2-노르보르넨-코-5-트리에톡시실릴-2-노르보르넨-코-아세나프틸렌은, 문헌 [April D. Hennis, Jennifer D. Polley, Gregory S. Long, Ayusman Sen, Dmitry Yandulov, John Lipian, Geroge M. Benedikt 및 Larry F. Rhodes Organometallics 2001, 20, 2802]에 기재된 절차에 따라서 제조할 수 있다. 자기 교반기와 질소 유입구 및 배출구를 구비한 500 ml 3목 플라스크에, 5-페닐-2-노르보르넨 25.00 g(0.1468 mol), 아세나프틸렌 29.80 g (75% 순도, 0.1468 mol에 해당함), 5-트리에톡시실릴-2-노르보르넨 3.77 g(0.01648 mol) 및 디클로로메탄 293 ml를 첨가하였다(혼합물 A). 상기 혼합물(A)을 실온에서 균일한 용액이 얻어질 때까지 교반하였다. 65 ml 플라스틱 용기에, [(1,5-시클로옥타디엔)Pd(CH3)(Cl)] 0.0817g(0.3084 mmol), PPh3 0.0809 g(0.3084 mmol), Na[3,5-(CH3)2C6H3]4B 0.2733 g(0.3084 mmol) 및 디클로로메탄 33 ml를 첨가하였다(혼합물 B). 상기 혼합물(B)를 실온에서 균일한 용액이 얻어질 때까지 진탕시켰다, 이어서, 상기 혼합물(B)를 질소하에 혼합물(A)에 첨가하고, 반응 혼합물을 질소하에 강력하게 교반시키면서 24 시간동안 가열 환류시켰다. 이어서 용액을 메탄올 586 ml중에 침전시켰다. 중합체를 여과에 의해서 수집하여 감압하에 건조시켰다.
층을 제조하고 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고 분해된 층을 휘발시켜서 기체 층을 형성시켰다.
실시예 10- 폴리(5-페닐-2-노르보르넨-코-5-트리에톡시실릴-2-노르보르넨-코-인덴)의 제조
폴리(5-페닐-2-노르보르넨-코-5-트리에톡시실릴-2-노르보르넨-코-인덴은, 문헌 [April D. Hennis, Jennifer D. Polley, Gregory S. Long, Ayusman Sen, Dmitry Yandulov, John Lipian, Geroge M. Benedikt 및 Larry F. Rhodes Organometallics 2001, 20, 2802]에 기재된 절차에 따라서 제조할 수 있다. 자기 교반기와 질소 유입구 및 배출구를 구비한 500 ml 3목 플라스크에, 5-페닐-2-노르보르넨 25.00 g(0.1468 mol), 인덴 17.06 g (0.1468 mol), 5-트리에톡시실릴-2-노르보르넨 3.77 g(0.01648 mol) 및 디클로로메탄 229 ml를 첨가하였다(혼합물 A). 상기 혼합물(A)을 실온에서 균일한 용액이 얻어질 때까지 교반하였다. 65 ml 플라스틱 용기에, [(1,5-시클로옥타디엔)Pd(CH3)(Cl)] 0.0817g(0.3084 mmol), PPh3 0.0809 g(0.3084 mmol), Na[3,5-(CH3)2C6H3]4B 0.2733 g(0.3084 mmol) 및 디클로로메탄 33 ml를 첨가하였다(혼합물 B). 상기 혼합물(B)를 실온에서 균일한 용액이 얻어질 때까지 진탕시켰다, 이어서, 상기 혼합물(B)를 질소하에 혼합물(A)에 첨가하고, 반응 혼합물을 질소하에 강력하게 교반시키면서 24 시간동안 가열 환류시켰다. 이어서 용액을 메탄올 458 ml중에 침전시켰다. 중합체를 여과에 의해서 수집하여 감압하에 건조시켰다.
층을 제조하고 베이킹시켰다. 집적 설계의 적절한 시점에서, 베이킹된 층을 분해시키고 분해된 층을 휘발시켜서 기체 층을 형성시켰다.
실시예 11
상기 실시예 5에 의해서 제조된 PAN1 및 PAN2의 특성을 하기 표 7 및 표 8에 나타내었다. 하기 표에서, AN은 아세나프틸렌을 나타내고, PDI는 다분산도를 나타낸다.
PAN1 | PAN2 | |
단량체 | AN | AN |
Si 중량% | 0 | 0 |
개시제 | DBADC | DBADC |
개시제% | 0.1% | 0.5% |
용매 | 크실렌 | 크실렌 |
온도(℃) | 140 | 140 |
시간(hr) | 6 | 6 |
Mn | 8,959 | 6,936 |
Mw | 23,281 | 18,381 |
PDI | 2.60 | 2.65 |
상기 조성물은 접착 촉진제로서 히드리도폴리카르보실란 2 중량%를 함유하였다.
특성 | 세부 사항 | PAN1 | PAN2 |
중량 손실율%사이클 1 | 0-300℃ | 1.265 | 1.795 |
300℃에서 1시간 | 1.093 | 1.448 | |
300-350℃ | 0.771 | 1.108 | |
350℃에서 1시간 | 48.390 | 48.220 | |
350-500℃ | 21.820 | 20.200 | |
합계 | 73.339 | 72.771 | |
중량 손실율%사이클 2 | 0-250℃ | 0.971 | 1.409 |
250℃에서 10분 | 0.211 | 0.321 | |
250-425℃ | 66.140 | 64.680 | |
425℃에서 1 시간 | 17.960 | 15.470 | |
합계 | 85.282 | 81.880 | |
유리 전이 온도(Tg)(℃) | DSC | 309 | 304 |
상기 표 7에 기재된 바와 같은 PAN1을 Si 기재 기판에 도포한 후에 베이킹시켰다, 베이킹된 필름의 특성은 하기 표 9에 나타낸 바와 같다.
특성 | PAN1 | PAN2 |
두께(Å) | 5299.4 | 4662 |
굴절율(633 nm에서) | 1.6805 | 1.6809 |
필름 품질 | 양호 | 양호 |
PAN1 대신에 PAN2를 사용한 것을 제외하고는, 상기 절차를 반복하였다.
실시예 12
상기 표 7에 기재된 바와 같은 PAN1을 산화물 기재 기판에 도포하였다. 도포된 재료를 베이킹시킨 후에(100℃, 200℃, 350℃에서 각각 1분), 이어서 분해시켰다(425℃/1 시간). 베이킹된 필름의 특성은 하기 표 10에 나타낸 바와 같다.
처리 단계 | 특성 | PAN1 | PAN2 |
베이킹후 | 두께 | 5327 | 4659.7 |
굴절율(633 nm에서) | 1.6815 | 1.6852 | |
SiO2 | - | - | |
필름 품질 | 육안 확인 | 양호 | 양호 |
분해후 | 두께 | 503.17 | 456.02 |
굴절율(633 nm에서) | 1.6972 | 1.7003 | |
SiO2 | - | - | |
전도도(4개소 탐침) | 검출 불가능 | 검출 불가능 |
PAN1 대신에 PAN2를 사용하는 것을 제외하고는, 상기 절차를 반복하였다.
실시예 13
상기 표 7에 기재된 PAN1을 다음과 같이 접착 촉진제와 함께 배합하였다. 자기 교반기를 구비한 500 ml 플라스크에, PAN1 50.00 g, 히드리도폴리카르보실란 3.35 g, 및 시클로헥산온 214.39 g을 첨가하였다. 상기 혼합물을 실온에서 밤새 교반하였다. 이어서, 얻어진 균일한 용액을 0.45㎛ PTFE 필터를 통해서 1회 여과하고, 0.10㎛ PTFE 필터를 통해서 2회 여과하였다. 상기 조성물을 실리콘 기재 기판에 도포하였다. 도포된 재료를 베이킹시킨 후에(100℃, 200℃, 350℃에서 각각 1분), 이어서 분해시켰다(425℃/1 시간). 베이킹된 필름의 특성은 하기 표 11 및 표 12에 나타낸 바와 같다.
특성 | 세부 사항 | PAN1 |
중량 손실율%사이클 1 | 0-250℃ | 0.110% |
250℃에서 10분 | 0.021% | |
250-300℃ | 0.122% | |
300℃에서 1시간 | 1.526% | |
중량 손실율%사이클 2 | 0-250℃ | 0.131% |
250℃에서 10분 | 0.024% | |
250-425℃ | 71.550% | |
425℃에서 1시간 | 4.284% | |
425℃에서 1시간 | 0.036% | |
합계 | 75.950% | |
유리 전이 온도(Tg)(℃) | DSC | 309 |
특성 | PAN1 |
두께(Å) | 10246 |
시그마% | 1.43% |
굴절율(633 nm에서) | 1.667 |
필름 품질 | 양호 |
모듈러스(Gpa) | 6.694 |
경도(Gpa) | 0.378 |
BET | 필름에서 다공도 측정 불가 |
실시예 14
폴리아세나프틸렌의 열 안정성을 향상시키기 위해서, 300℃ 경화를 실시하였다. 자기 교반기를 구비한 500 ml 플라스크에, 폴리아세나프틸렌 50.00 g, 히드리도폴리카르보실란 3.35 g 및 시클로헥산온 214.39 g을 첨가하였다. 상기 혼합물을 실온에서 밤새 교반시켰다. 이어서, 얻어진 균일한 용액을 0.45㎛ PTFE 필터를 통해서 1회 여과하고, 0.10㎛ PTFE 필터를 통해서 2회 여과하였다. 상기 조성물을 실리콘 기재 기판에 도포하였다. 도포된 재료를 베이킹시킨 후에(150℃, 250℃, 300℃에서 각각 1분), 이어서 분해시켰다(300℃에서 1시간). 필름의 특성은 하기 표 13에 나타낸 바와 같다.
특성 | 세부 사항 | 경화된 PAN |
중량 손실율%사이클 | 0-250℃ | 0.053% |
250℃에서 10분 | 0.010% | |
250-300℃ | 0.032% | |
300℃에서 1 시간 | 0.987% |
실시예 15
폴리아세나프틸렌의 열 안정성을 향상시키기 위해서, 다음과 같이 단량체의 화학적 조성을 변화시켰다. 자기 교반기를 구비한 50 ml 플라스크에, 하기 표 14의 폴리아세나프틸렌 2.40 g, 테트라아세톡시실란과 메틸트리아세톡시실란의 가수분해 올리고머 0.24 g 및 시클로헥산온 17.17 g을 첨가하였다. 상기 혼합물을 실온에서 2시간 동안 교반시켰다. 이어서, 얻어진 균일한 용액을 0.45㎛ PTFE 필터를 통해서 1회 여과하고, 0.10㎛ PTFE 필터를 통해서 2회 여과하였다. 열적 특성을 하기 표 15 및 도 3에 나타내었다.
단량체 | AN |
Si 중량% | 0 |
개시제 | DBADC |
개시제% | 0.20% |
용매 | 크실렌 |
온도(℃) | 140 |
시간(hr) | 6 |
Mn | 12161 |
Mw | 30872 |
PDI | 2.54 |
특성 | 변형된 표14의 PAN | |
중량손실율%사이클 | 0-250℃ | 0.07508% |
250℃(10분) | 0.03018% | |
250-300℃ | ||
300℃(1 시간) | 0.76180% |
실시예 16
폴리아세나프틸렌의 열 안정성을 향상시키기 위해서, 다음과 같이 단량체의 화학적인 조성을 변화시켰다. 자기 교반기를 구비한 50 ml 플라스크에, 상기 표 14의 폴리아세나프틸렌 2.600 g, 테트라아세톡시실란 0.234 g, 히드리도폴리카르보실란 0.026 g 및 시클로헥산온 17.06 g을 첨가하였다. 상기 혼합물을 실온에서 2시간 동안 교반시켰다. 이어서, 얻어진 균일한 용액을 0.45㎛ PTFE 필터를 통해서 1회 여과하고, 0.10㎛ PTFE 필터를 통해서 2회 여과하였다.
특성 | 세부 사항 | 변형된 표 14의 PAN |
중량 손실율%사이클 1 | 0-250℃ | 0.1507% |
250℃에서 10분 | 0.01373% | |
250-300℃ | 0.03819% | |
300℃에서 1 시간 | 0.7978% | |
300℃에서 2시간째 | 0.8911% |
실시예 17
다음과 같은 집적 설계를 본 발명에 사용할 수 있다. 도 4에 도시된 바와 같이, 구리 이중 상감기법(damascene; via-first) 집적 공정도에 따라 다음과 같은 단계들을 수행하였으며, 도 4는 본 발명의 재료를 트랜치(trench) 층에서만 사용한 경우를 예시한 것이다. 공지의 부착 또는 도포 방법, 예를 들면 스핀코팅, 화학 증착법을 다음과 같은 절차에 사용할 수 있다. 공지의 제거 방법, 예를 들면 습식 또는 건식 박리법을 다음의 절차에 사용할 수 있다. 공지의 방벽(barrier) 재료, 예를 들면 허니웰(Honeywell)의 탄탈 타겟(target) 또는 본 명세서에 참고로 인용한 공동으로 양도된 미국 특허 제 6,348,139호 또는 6,331,233호에 개시된 바와 같은 탄탈 타겟을 다음의 절차에 사용할 수 있다. 공지의 반사방지 코팅을 사용할 수 있으며, 비제한적인 예로서는 허니웰의 DUOTM 재료 또는 본 명세서에 참고로 인용한 공동으로 양도된 미국 특허 제 6,268,457호 또는 6,365,765호에 개시된 바와 같은 재료를 들 수 있다. 공지의 처리 방법, 예를 들면 베이킹 또는 가교와 같은 열처리 또는 반응 가스를 다음과 같은 절차에 사용할 수 있다.
도 4A를 참조하여 설명하면, SiN 및/또는 SiC와 같은 장벽층(14)을 구리층(12)에 도포하였다. 비아(via) 층간 유전층(16)을 장벽층(14)상에 증착하였다. 에치 스탑 층(18)을 상기 비아 층간 유전층(16)에 도포하였다. 열분해성 중합체(20)을 상기 에치 스탑 층(18)에 도포한 후에 처리하였다. 도 4에는 도시하지 않았지만, 접착 촉진제 층을 필요에 따라 열분해성 중합체(20)상에 증착시킬 수 있다. 하드 마스크(22)를 열분해성 중합체(20)상에 증착하였다. 반사방지 코팅(24)을 하드 마스크(22)에 도포한 후에 베이킹시켰다. 이어서, 포토레지스트(26)을 반사방지 코팅(24)에 도포한 후에 베이킹시켰다. 도시되어 있지는 않으나, 이어서 비아 리소그래피(via lithography)를 실시하여 포토레지스트(26)을 현상시켰다.
이어서, 도 4B를 참조하여 설명하면, 반사방지 코팅(24), 하드 마스크(22), 열분해성 중합체(20), 에치 스탑 층(18) 및 비아 층간 유전층(16)의 비아 플라즈마 에칭(28)을 실시하였다.
도 4C에 도시된 바와 같이, 포토레지스트(26)을 박리 제거하고, 반사방지 코팅(24)을 선택적으로 제거하였다. 이어서 퍼어지하였다.
도 4D에 도시된 바와 같이, 갭(gap) 충전 단계를 실시하고, 반사방지 코팅(24)와 동일하거나 상이한 것일 수 있는 반사방지 재료(30)를 도포하였다. 이어서, 상기 포토레지스트(26)과 동일하거나 상이한 것일 수 있는 포토레지스트(32)를 상기 반사방지 코팅(30)에 도포한 다음 베이킹시켰다.
도 4E를 참조하여 설명하면, 도시하지는 않았지만, 트렌치 리소그래피를 실시하였다. 이어서, 포토레지스트(32)를 현상시켰다. 이어서, 반사방지 재료(30), 하드 마스크(22) 및 열분해성 중합체(20)의 트렌치 플라스마 에칭(34)을 실시하였다.
도 4F를 참조하여 설명하면, 포토레지스트(32)를 박리 제거하고, 반사방지 재료(30)을 선택적으로 제거하였다. 장벽층(14)의 플라스마 에칭(36)을 실시하여 구리층(12)을 개방시켰다. 이어서 퍼어지를 실시하였다.
도 4G에 도시된 바와 같이, 장벽층(38)과 구리 시드(seed)층(40)을 PVD(물리증착), CVD(화학증착) 및 ALD(원자층 증착) 방법을 사용하여 증착시켰다. 이어서, 구리(42)를 도금하였다. 도 4에는 도시하지 않았지만, CMP(화학기계연마) 또는 다른 평면화 방법을 실시하여 상단면상의 구리와 장벽층을 제거하고 하드 마스크(22)를 평면화시키고 상단에 위치하도록 하였다.
도 4H에 도시된 바와 같이, 열분해성 중합체(20)을 실질적으로 분해시키고, 실질적으로 분해된 열분해성 중합체를 구조물로부터 휘발 제거한 다음, 기체 갭(44)을 형성시켰다. 이어서, 상기 장벽층(14)와 동일하거나 상이한 것일 수 있는 장벽층(46)을 증착하여 구리층(n)의 집적을 완료하였다.
도 4에는 도시되어 있지만, 열분해성 중합체(20)와 층간 유전층(16) 사이의 선택적인 에칭이 집적 요건에 부합한다면, 에치 스탑 층(18)과 그 증착 단계를 생략할 수도 있다. 도 4에는 도시하지 않았지만, 접착 촉진제 층 및/또는 표면 처리 단계, 예를 들면 반응성 이온 에칭 또는 비반응성 기체 플라스마 처리를, 필요에 따라서 한 층을 증착시킨 후에 다른 층을 증착하기에 앞서, 적용할 수 있다.
도 4에 예시되어 있는 집적 공정도에서 하드 마스크(22)에 관해 설명하면, 하드 마스크(22)는 분해시에 열분해성 중합체(20)의 유출물에 대하여 투과성이 있으며, 평면화 처리(도 4G) 및 열분해 처리(도 4H)를 견디는데 충분한 기계적 강도를 갖는다. 하드마스크의 예로서는, 유기 재료(비제한적인 예를 들면, 허니웰 GX-3TM 재료, 폴리이미드[1], SiLKTM), 무기 재료(비제한적인 예를 들면 SiCN, SiON, SiO2 [1], FSG, SiN[1], SiOCN, 실리콘 카바이드) 또는 유기-무기 혼성 재료(비제한적인 예를 들면 스핀-온에 의해 얻은 허니웰 HOSPTM 재료, 허니웰 HOSP BEStTM 재료, 허니웰 나모글래스 (Honeywell NanoglassTM ) 재료); 및 CVD에 의해 얻은 코랠(CoralTM), 블랙 다이아몬드 (Black DiamondTM), 오로라 (AuroraTM), 오리온 (OrionTM)을 들 수 있으며, 이들은 열분해성 중합체의 분해시에 기체 제거를 용이하게 하기 위해 일정한 다공성을 갖거나 갖지 않는다.
[1] 문헌 [Hollie A. Reed 등, Presentation at "International SEMATECH Ultra Low k Workshop", 2002년 6월 6-7일] 참조.
실시예 18
다음과 같은 또 다른 집적 설계를 본 발명에 사용할 수 있다. 도 5에 도시된 바와 같이, 구리 이중 상감기법(damascene; via-first) 집적 공정도에 따라 다음과 같은 단계들을 수행하였으며, 도 5는 본 발명의 재료를 트랜치(trench) 층에서만 사용한 경우를 예시한 것이다. 공지의 증착 또는 도포 방법, 예를 들면 스핀코팅, 화학 증착(CVD)법을 다음과 같은 절차에 사용할 수 있다. 공지의 제거 방법, 예를 들면 습식 또는 건식 박리법을 다음의 절차에 사용할 수 있다. 공지의 방벽(barrier) 재료, 예를 들면 허니웰의 탄탈 타겟(target) 또는 본 명세서에 참고로 인용한 공동으로 양도된 미국 특허 제 6,348,139호 또는 6,331,233호에 개시된 바와 같은 탄탈 타겟을 다음의 절차에 사용할 수 있다. 공지의 반사방지 코팅을 사용할 수 있으며, 비제한적인 예로서는 허니웰의 DUOTM 재료 또는 본 명세서에 참고로 인용한 공동으로 양도된 미국 특허 제 6,268,457호 또는 6,365,765호에 개시된 바와 같은 재료를 들 수 있다.
도 5A를 참조하여 설명하면, SiN 및/또는 SiC와 같은 장벽층(14)을 구리층(12)에 도포하였다. 비아(via) 층간 유전층(ILD)(16)을 장벽층(14)상에 증착하였다. 에치 스탑 층(18)을 상기 비아 층간 유전층(16)에 도포하였다. 열분해성 중합체(20)을 상기 에치 스탑 층(18)에 도포한 후에 열처리하였다. 이상의 절차는 도 4A에 도시된 것과 유사하다. 도 5에는 도시하지 않았지만, 접착 촉진제 층을 필요에 따라 열분해성 중합체(20)상에 증착시킬 수 있다. 도 4A와 달리, SiO2와 같은 캡층(48)을 열분해성 중합체(20)상에 증착시켰다. 반사방지 코팅(ARC)(50)을 상기 캡층(48)에 도포한 후에 베이킹시켰다. 이어서, 포토레지스트(52)을 반사방지 코팅(50)에 도포한 후에 베이킹시켰다. 도시되어 있지는 않으나, 이어서 비아 리소그래피(via lithography)를 실시하여 포토레지스트(52)을 현상시켰다.
이어서, 도 5B를 참조하여 설명하면, 반사방지 코팅(50), 캡(48), 열분해성 중합체(20), 에치 스탑 층(18) 및 비아 층간 유전층(16)의 비아 플라즈마 에칭(54)을 실시하였다.
도 5C에 도시된 바와 같이, 포토레지스트(52)을 박리 제거하고, 반사방지 코팅(50)을 선택적으로 제거하였다. 이어서 퍼어지하였다.
도 5D에 도시된 바와 같이, 갭 충전 단계를 실시하고, 반사방지 재료(50)와 동일하거나 상이한 것일 수 있는 반사방지 재료(56)를 도포하였다. 이어서, 상기 포토레지스트(52)과 동일하거나 상이한 것일 수 있는 포토레지스트(58)를 상기 반사방지 코팅(56)에 도포한 다음 베이킹시켰다.
도 5E를 참조하여 설명하면, 도시하지는 않았지만, 트렌치 리소그래피를 실시하였다. 이어서, 포토레지스트(58)를 현상시켰다. 이어서, 반사방지 재료(56), 캡(48) 및 열분해성 중합체(20)의 트렌치 플라스마 에칭(60)을 실시하였다.
도 5F를 참조하여 설명하면, 포토레지스트(58)를 박리 제거하고, 반사방지 재료(56)을 선택적으로 제거하였다. 장벽층(14)의 플라스마 에칭(62)을 실시하여 구리층(12)을 개방시켰다. 이어서 퍼어지를 실시하였다.
도 5G에 도시된 바와 같이, 장벽층(64)과 구리 시드(seed)층(66)을 PVD(물리증착), CVD(화학증착) 및 ALD(원자층 증착) 방법을 사용하여 증착시켰다. 이어서, 구리(68)를 도금하였다. 도 5에는 도시하지 않았지만, CMP(화학기계연마) 또는 다른 평면화 방법을 실시하여 상단면상의 구리와 장벽층뿐만 아니라 캡층(48)을 제거하고, 열분해성 중합체(20)가 상단에 위치하도록 하였다.
상기 열분해성 중합체가 이후의 절차를 견딜 수 있는 것일 경우에, 차후에 선택적으로 사용되는 하드 마스크와 캡층은 필요하지 않을 것이다. 도 5H에 도시된 바와 같이, 선택적인 하드 마스크(70)을 열분해성 중합체(20)상에 부착시켰다. 하드 마스크(70) 대신에 사용할 수 있는 것으로서, 도시하지는 않았지만, 선택적인 캡층을 열분해성 중합체(20)상에 부착시킬 수도 있다. 이어서, 열분해성 중합체(20)을 실질적으로 분해시키고, 실질적으로 분해된 열분해성 중합체를 구조물로부터 휘발 제거한 다음, 기체 갭(72)을 형성하였다. 이어서, 상기 장벽층(14)와 동일하거나 상이한 것일 수 있는 장벽층(74)을 증착하여 구리층(n)의 집적을 완료하였다.
도 5에는 도시하지 않았지만, 열분해성 중합체(20)와 층간 유전층(16) 사이의 선택적인 에칭이 집적 요건에 부합한다면, 에치 스탑 층(18)과 그 부착 단계를 생략할 수도 있다. 도 4에는 도시하지 않았지만, 접착 촉진제 층 및/또는 표면 처리 단계, 예를 들면 반응성 이온 에칭(RIE) 또는 비반응성 기체 플라스마 처리를, 필요에 따라서 한 층을 부착시킨 후에 다른 층을 부착하기에 앞서, 적용할 수도 있다.
도 5에는 도시하지 않았지만, 열분해성 중합체(20)에 대해서 직접적인 평면화를 수행할 수 있을 경우에는, 상기 캡층(48)과 그 부착 단계를 생략할 수 있다. 도 5에 예시된 집적 공정도에서 하드 마스크(70)로서는, 도 4에 도시된 실시예에서 사용한 것과 동일한 재료(22)를 사용할 수 있다.
실시예 19
또 다른 집적 설계에 있어서, 열분해성 중합체 층을 비아 및 트렌치 층에서 둘다 형성시킨 후에, 실질적으로 분해시키고 구조물로부터 휘발 제거하여 비아층과 트렌치층에 모두 기체 층을 형성하였다. 이러한 기체 층들은 동일하거나 상이한 열분해성 중합체로부터 형성된 것일 수 있다. 이중 상감기법 공정도를 상기 실시예 17 및 18에 따라 사용하였다. 실시예 17 및 18에서 설명한 바와 같이 통상의 비아층 층간 유전층(16)을 증착시키는 대신에, 비아층에 열분해성 중합체(16)을 증착시켰다. 본 실시예에서는 집적 공정을 실시한 후에, 제 2의 열분해성 중합체(20)을 트렌치층에 증착시켰다. 상기 실시예 17 및 18에 예시된 바와 같이 추가 처리를 수행한 후에, 양쪽 열분해성 중합체(16)과 (17)을 모두 분해시키고 구조물로부터 휘발 제거하여 비아층과 트렌치층에 둘다 기체 층(들)을 형성시켰다. 비아 및 트렌치 층간 유전체(16 및 20)의 에칭/처리 선택성에 따라서, 에치 스탑 층을 사용하거나 사용하지 않을 수 있다.
Claims (26)
- 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택되는 기체 층 형성 재료.
- 제 1 항에 있어서,300℃에서 1시간동안 방치한 후의 중량 손실율이 2% 미만인 기체 층 형성 재료.
- 제 2 항에 있어서,아세나프틸렌 단독중합체 및 아세나프틸렌 공중합체로 이루어진 군중에서 선택되는 기체 층 형성 재료.
- 제 1 항에 있어서,접착 촉진제를 더 포함하는 기체 층 형성 재료.
- 제 1 항에 있어서,하기 화학식의 실란; 유기실록산; 페닐실록산 중합체; 메틸페닐실록산 중합체; 실록산 중합체; 수소 실세스퀴옥산; 또는 메틸 실세스퀴옥산을 더 포함하는 기체 층 형성 재료:상기 식에서, R10, R11, R12 및 R13은 동일하거나 상이하고, 수소 원자, 알킬, 아릴, 알콕시, 아릴옥시, 아세톡시, 염소 원자 또는 이들의 조합으로부터 선택되며, R10, R11, R12 및 R13중 하나 이상은 알콕시, 아릴옥시, 아세톡시 또는 염소 원자이다.
- 제 1 항의 기체 층 형성 재료를 포함하는 스핀-온(apin-on) 증착성 재료.
- 제 1 항의 기체 층 형성 재료를 포함하는 화학 기상 증착 전구체.
- 제 1 항에서 정의한 기체 층 형성 재료를 포함하는 필름.
- 표면상에 제 8항의 필름을 지닌 기판.
- 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐 벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택되는 기체 층 형성 재료를 사용하는 단계를 포함하여, 기체 층을 형성하는 방법.
- 제 10 항에 있어서,상기 재료가 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만인 방법.
- 제 10 항에 있어서,상기 재료가 아세나프틸렌 단독중합체 및 아세나프틸렌 공중합체로 이루어진 군중에서 선택되는 방법.
- 제 10 항에 있어서,상기 재료가 접착 촉진제를 더 포함하는 방법.
- 제 10 항에 있어서,상기 재료가 하기 화학식의 실란; 유기실록산; 페닐실록산 중합체; 메틸페닐실록산 중합체; 실록산 중합체; 수소 실세스퀴옥산; 또는 메틸 실세스퀴옥산을 더 포함하는 방법:상기 식에서, R10, R11, R12 및 R13은 동일하거나 상이하고, 수소 원자, 알킬, 아릴, 알콕시, 아릴옥시, 아세톡시, 염소 원자 또는 이들의 조합으로부터 선택되며, R10, R11, R12 및 R13중 하나 이상은 알콕시, 아릴옥시, 아세톡시 또는 염소 원자이다.
- (a) 층간 유전층에, (i) 유리 전이 온도가 약 200℃ 보다 높고, (ii) 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만이며, (iii) 분해 온도가 약 350℃ 보다 높은 중합체를 혼입시키는 단계;(b) 상기 중합체를 약 350℃ 보다 높은 온도로 가열하는 단계; 및(c) 가열된 중합체를 제거하는 단계를 포함하는 방법.
- 제 15 항에 있어서,상기 중합체가 아세나프틸렌 단독중합체 및 아세나프틸렌 공중합체로 이루어진 군중에서 선택되는 방법.
- 제 15 항에 있어서,상기 중합체가 접착 촉진제를 더 포함하는 방법.
- 제 15 항에 있어서,상기 중합체가 하기 화학식의 실란; 유기실록산; 페닐실록산 중합체; 메틸페닐실록산 중합체; 실록산 중합체; 수소 실세스퀴옥산; 또는 메틸 실세스퀴옥산을 더 포함하는 것인 방법:상기 식에서, R10, R11, R12 및 R13은 동일하거나 상이하고, 수소 원자, 알킬, 아릴, 알콕시, 아릴옥시, 아세톡시, 염소 원자 또는 이들의 조합으로부터 선택되며, R10, R11, R12 및 R13중 하나이상은 알콕시, 아릴옥시, 아세톡시 또는 염소 원자이다.
- 제 15 항에 있어서,상기 (b) 단계 이전에, 상기 중합체 층을 전자빔 방사선, 이온빔 방사선, 마이크로파 방사선, 자외선, 적외선 또는 X선에 노출시켜 처리하는 단계를 더 포함하는 방법.
- (a) 기판상에 (i) 유리 전이 온도가 약 200℃ 보다 높고, (ii) 300℃에서 1시간동안 방치한 후에 중량 손실율이 2% 미만이며, (iii) 분해 온도가 약 350℃ 보다 큰 중합체의 층을 형성하고;(b) 중합체 층을 분해시키고;(c) 분해된 중합체 층을 휘발시킴으로써 형성된 기체 층을 포함하는 마이크로칩(microchip).
- 제 20 항에 있어서,상기 중합체 층을 기판상에 형성시키는 방법.
- (a) 기판;(b) 유리 전이 온도가 200℃ 이상이며 분해되고 휘발될 수 있는 열분해성 중합체의 층;(c) 상기 중합체 층에 인접한 다공성 캡핑(capping)층; 및(d) 상기 중합체 층의 단부에 인접한 금속 장벽층을 포함하는 마이크로전자 소자.
- 제 22 항에 있어서,상기 열분해성 중합체가 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택되는 마이크로전자 소자.
- (a) 유리 전이 온도가 200℃ 이상인 열분해성 중합체를 기판상에 도포하는 단계;(b) 상기 열분해성 중합체 층상에 다공성 캡핑층을 도포하는 단계;(c) 상기 열분해성 중합체 층 및 다공성 캡핑층에 패턴을 형성하는 단계;(d) 상기 패턴이 형성된 층에 금속 장벽층을 도포하는 단계;(e) 상기 중합체를 열분해시키는 단계; 및(f) 상기 분해된 중합체를 휘발시켜서 기체 층을 형성하는 단계를 포함하여, 마이크로전자 소자를 제조하는 방법.
- 제 24항에 있어서,상기 열분해성 중합체가 아세나프틸렌 단독중합체; 아세나프틸렌 공중합체; 노르보르넨과 아세나프틸렌의 공중합체; 폴리노르보르넨 유도체; 폴리노르보르넨과 폴리아세나프틸렌의 블렌드; 폴리(아릴렌 에테르); 폴리아미드; B-스테이지 다작용기성 아크릴레이트/메타크릴레이트; 가교된 스티렌 디비닐벤젠 중합체; 및 스티렌 및 디비닐 벤젠과 말레이미드 또는 비스말레이미드와의 공중합체로 이루어진 군중에서 선택되는 방법.
- 제 19항에 있어서,상기 (e) 단계 이전에, 상기 열분해성 중합체 층을 전자빔 방사선, 이온빔 방사선, 마이크로파 방사선, 자외선, 적외선 또는 X선에 노출시켜 처리하는 단계를 더 포함하는 방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/286,236 US20040084774A1 (en) | 2002-11-02 | 2002-11-02 | Gas layer formation materials |
US10/286,236 | 2002-11-02 |
Publications (1)
Publication Number | Publication Date |
---|---|
KR20050084638A true KR20050084638A (ko) | 2005-08-26 |
Family
ID=32175388
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020057007807A KR20050084638A (ko) | 2002-11-02 | 2003-10-31 | 기체 층 형성 재료 |
Country Status (8)
Country | Link |
---|---|
US (1) | US20040084774A1 (ko) |
EP (1) | EP1570029A2 (ko) |
JP (1) | JP2006504855A (ko) |
KR (1) | KR20050084638A (ko) |
CN (1) | CN1735945A (ko) |
AU (1) | AU2003295370A1 (ko) |
TW (1) | TW200420659A (ko) |
WO (1) | WO2004041972A2 (ko) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100861176B1 (ko) * | 2006-01-02 | 2008-09-30 | 주식회사 하이닉스반도체 | 무기계 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법 |
KR20170038023A (ko) * | 2014-07-26 | 2017-04-05 | 어플라이드 머티어리얼스, 인코포레이티드 | SiCON의 저온 분자층 증착 |
KR20180121342A (ko) * | 2017-04-28 | 2018-11-07 | 도오꾜오까고오교 가부시끼가이샤 | 접착제 조성물, 접착층이 형성된 지지체, 접착 필름, 적층체 및 그 제조 방법, 그리고 전자 부품의 제조 방법 |
Families Citing this family (60)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2003001251A1 (en) * | 2001-06-25 | 2003-01-03 | Massachusetts Institute Of Technology | Air gaps for optical applications |
EP1493183B1 (en) | 2002-04-02 | 2012-12-05 | Dow Global Technologies LLC | Process for making air gap containing semiconducting devices and resulting semiconducting device |
US20060020068A1 (en) * | 2004-07-07 | 2006-01-26 | Edmund Elce | Photosensitive compositions based on polycyclic polymers for low stress, high temperature films |
US6774031B2 (en) * | 2002-12-17 | 2004-08-10 | Texas Instruments Incorporated | Method of forming dual-damascene structure |
US20070155926A1 (en) * | 2003-03-28 | 2007-07-05 | Krzysztof Matyjaszewski | Degradable polymers |
US20040222527A1 (en) * | 2003-05-06 | 2004-11-11 | Dostalik William W. | Dual damascene pattern liner |
US7867331B2 (en) * | 2003-08-04 | 2011-01-11 | Honeywell International Inc. | Coating composition optimization for via fill and photolithography applications and methods of preparation thereof |
US7420275B1 (en) | 2003-09-24 | 2008-09-02 | Novellus Systems, Inc. | Boron-doped SIC copper diffusion barrier films |
US6967405B1 (en) | 2003-09-24 | 2005-11-22 | Yongsik Yu | Film for copper diffusion barrier |
US20050154105A1 (en) * | 2004-01-09 | 2005-07-14 | Summers John D. | Compositions with polymers for advanced materials |
US7030041B2 (en) * | 2004-03-15 | 2006-04-18 | Applied Materials Inc. | Adhesion improvement for low k dielectrics |
US7557035B1 (en) | 2004-04-06 | 2009-07-07 | Advanced Micro Devices, Inc. | Method of forming semiconductor devices by microwave curing of low-k dielectric films |
CN1954412A (zh) * | 2004-06-04 | 2007-04-25 | 国际商业机器公司 | 互连结构的制造 |
US7282438B1 (en) | 2004-06-15 | 2007-10-16 | Novellus Systems, Inc. | Low-k SiC copper diffusion barrier films |
WO2006017035A1 (en) * | 2004-07-07 | 2006-02-16 | Promerus Llc | Photosensitive dielectric resin compositions and their uses |
JP2006152063A (ja) * | 2004-11-26 | 2006-06-15 | Jsr Corp | 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法 |
US7217648B2 (en) * | 2004-12-22 | 2007-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Post-ESL porogen burn-out for copper ELK integration |
US7452793B2 (en) * | 2005-03-30 | 2008-11-18 | Tokyo Electron Limited | Wafer curvature estimation, monitoring, and compensation |
WO2007027165A1 (en) * | 2005-06-09 | 2007-03-08 | Axcelis Technologies, Inc. | Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications |
US7649239B2 (en) * | 2006-05-04 | 2010-01-19 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
DE102006029572A1 (de) * | 2006-06-22 | 2007-12-27 | Siemens Ag | Verfahren zum Erzeugen eines Bauteils mit einer nanostrukturierten Beschichtung sowie Verfahren zur Herstellung eines Granulats beziehungsweise einer Polymerfolie, geeignet für das Verfahren zum Beschichten |
US7863150B2 (en) * | 2006-09-11 | 2011-01-04 | International Business Machines Corporation | Method to generate airgaps with a template first scheme and a self aligned blockout mask |
US7772702B2 (en) * | 2006-09-21 | 2010-08-10 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
US7776395B2 (en) * | 2006-11-14 | 2010-08-17 | Applied Materials, Inc. | Method of depositing catalyst assisted silicates of high-k materials |
US7749574B2 (en) | 2006-11-14 | 2010-07-06 | Applied Materials, Inc. | Low temperature ALD SiO2 |
US7915166B1 (en) | 2007-02-22 | 2011-03-29 | Novellus Systems, Inc. | Diffusion barrier and etch stop films |
US8173537B1 (en) | 2007-03-29 | 2012-05-08 | Novellus Systems, Inc. | Methods for reducing UV and dielectric diffusion barrier interaction |
US8865797B2 (en) | 2007-05-23 | 2014-10-21 | Carnegie Mellon University | Hybrid particle composite structures with reduced scattering |
US8124522B1 (en) | 2008-04-11 | 2012-02-28 | Novellus Systems, Inc. | Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties |
US8247332B2 (en) * | 2009-12-04 | 2012-08-21 | Novellus Systems, Inc. | Hardmask materials |
WO2012091965A1 (en) | 2010-12-17 | 2012-07-05 | Carnegie Mellon University | Electrochemically mediated atom transfer radical polymerization |
KR20140003495A (ko) * | 2010-12-20 | 2014-01-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 집적 손상 내성을 개선하기 위한 인-시튜 저-k 캡핑 |
US8889544B2 (en) * | 2011-02-16 | 2014-11-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dielectric protection layer as a chemical-mechanical polishing stop layer |
JP5959307B2 (ja) * | 2011-06-22 | 2016-08-02 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
EP2747753B1 (en) | 2011-08-22 | 2023-03-29 | Carnegie Mellon University | Atom transfer radical polymerization under biologically compatible conditions |
US9960110B2 (en) | 2011-12-30 | 2018-05-01 | Intel Corporation | Self-enclosed asymmetric interconnect structures |
US10832904B2 (en) | 2012-06-12 | 2020-11-10 | Lam Research Corporation | Remote plasma based deposition of oxygen doped silicon carbide films |
US10211310B2 (en) | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US10325773B2 (en) | 2012-06-12 | 2019-06-18 | Novellus Systems, Inc. | Conformal deposition of silicon carbide films |
US9234276B2 (en) | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US9330989B2 (en) | 2012-09-28 | 2016-05-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for chemical-mechanical planarization of a metal layer |
US8772938B2 (en) | 2012-12-04 | 2014-07-08 | Intel Corporation | Semiconductor interconnect structures |
US9362133B2 (en) | 2012-12-14 | 2016-06-07 | Lam Research Corporation | Method for forming a mask by etching conformal film on patterned ashable hardmask |
US9337068B2 (en) | 2012-12-18 | 2016-05-10 | Lam Research Corporation | Oxygen-containing ceramic hard masks and associated wet-cleans |
CN103050439B (zh) * | 2012-12-19 | 2017-10-10 | 上海华虹宏力半导体制造有限公司 | 互连线结构及互连线结构的形成方法 |
CN104124156B (zh) * | 2013-04-27 | 2018-02-06 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
US10297442B2 (en) | 2013-05-31 | 2019-05-21 | Lam Research Corporation | Remote plasma based deposition of graded or multi-layered silicon carbide film |
JP6081879B2 (ja) * | 2013-07-05 | 2017-02-15 | 東京エレクトロン株式会社 | 塗布膜の形成方法、プログラム及びコンピュータ記憶媒体 |
CN106471057A (zh) * | 2014-05-29 | 2017-03-01 | Az电子材料(卢森堡)有限公司 | 空隙形成用组合物、具备使用该组合物而形成的空隙的半导体装置、以及使用了该组合物的半导体装置的制造方法 |
US9982070B2 (en) | 2015-01-12 | 2018-05-29 | Carnegie Mellon University | Aqueous ATRP in the presence of an activator regenerator |
US20160314964A1 (en) * | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
CN107240573B (zh) * | 2016-03-28 | 2020-06-09 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制作方法和电子装置 |
US10002787B2 (en) | 2016-11-23 | 2018-06-19 | Lam Research Corporation | Staircase encapsulation in 3D NAND fabrication |
US9837270B1 (en) | 2016-12-16 | 2017-12-05 | Lam Research Corporation | Densification of silicon carbide film using remote plasma treatment |
US11174325B2 (en) | 2017-01-12 | 2021-11-16 | Carnegie Mellon University | Surfactant assisted formation of a catalyst complex for emulsion atom transfer radical polymerization processes |
US10170308B1 (en) * | 2017-10-11 | 2019-01-01 | International Business Machines Corporation | Fabricating semiconductor devices by cross-linking and removing portions of deposited HSQ |
US10840087B2 (en) | 2018-07-20 | 2020-11-17 | Lam Research Corporation | Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films |
KR20220056249A (ko) | 2018-10-19 | 2022-05-04 | 램 리써치 코포레이션 | 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출 |
CN111276456B (zh) * | 2020-02-18 | 2020-12-04 | 合肥晶合集成电路有限公司 | 半导体器件及其制造方法 |
CN113320245A (zh) * | 2020-02-28 | 2021-08-31 | 鞍山小巨人生物科技有限公司 | 一种高频高速覆铜板用新型聚合物树脂 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP1376684B1 (en) * | 1997-01-21 | 2008-11-26 | Georgia Tech Research Corporation | Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections |
US6093636A (en) * | 1998-07-08 | 2000-07-25 | International Business Machines Corporation | Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets |
WO2000051177A1 (en) * | 1999-02-26 | 2000-08-31 | Advanced Micro Devices, Inc. | Integrated circuit device with air dielectric |
US6761975B1 (en) * | 1999-12-23 | 2004-07-13 | Honeywell International Inc. | Polycarbosilane adhesion promoters for low dielectric constant polymeric materials |
JP5350571B2 (ja) * | 2000-08-21 | 2013-11-27 | ダウ グローバル テクノロジーズ エルエルシー | マイクロ電子デバイス製造に使用する有機ポリマー絶縁膜用ハードマスクとしての有機シリケート樹脂 |
TWI226103B (en) * | 2000-08-31 | 2005-01-01 | Georgia Tech Res Inst | Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same |
TWI227043B (en) * | 2000-09-01 | 2005-01-21 | Koninkl Philips Electronics Nv | Method of manufacturing a semiconductor device |
US7141188B2 (en) * | 2001-05-30 | 2006-11-28 | Honeywell International Inc. | Organic compositions |
US20030219968A1 (en) * | 2001-12-13 | 2003-11-27 | Ercan Adem | Sacrificial inlay process for improved integration of porous interlevel dielectrics |
US20030218253A1 (en) * | 2001-12-13 | 2003-11-27 | Avanzino Steven C. | Process for formation of a wiring network using a porous interlevel dielectric and related structures |
-
2002
- 2002-11-02 US US10/286,236 patent/US20040084774A1/en not_active Abandoned
-
2003
- 2003-10-31 JP JP2004550397A patent/JP2006504855A/ja not_active Withdrawn
- 2003-10-31 KR KR1020057007807A patent/KR20050084638A/ko not_active Application Discontinuation
- 2003-10-31 WO PCT/US2003/034816 patent/WO2004041972A2/en not_active Application Discontinuation
- 2003-10-31 EP EP03786554A patent/EP1570029A2/en not_active Withdrawn
- 2003-10-31 TW TW092130595A patent/TW200420659A/zh unknown
- 2003-10-31 AU AU2003295370A patent/AU2003295370A1/en not_active Abandoned
- 2003-10-31 CN CNA2003801081858A patent/CN1735945A/zh active Pending
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100861176B1 (ko) * | 2006-01-02 | 2008-09-30 | 주식회사 하이닉스반도체 | 무기계 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법 |
US7514200B2 (en) | 2006-01-02 | 2009-04-07 | Hynix Semiconductor Inc. | Hard mask composition for lithography process |
KR20170038023A (ko) * | 2014-07-26 | 2017-04-05 | 어플라이드 머티어리얼스, 인코포레이티드 | SiCON의 저온 분자층 증착 |
KR20180121342A (ko) * | 2017-04-28 | 2018-11-07 | 도오꾜오까고오교 가부시끼가이샤 | 접착제 조성물, 접착층이 형성된 지지체, 접착 필름, 적층체 및 그 제조 방법, 그리고 전자 부품의 제조 방법 |
Also Published As
Publication number | Publication date |
---|---|
TW200420659A (en) | 2004-10-16 |
WO2004041972A2 (en) | 2004-05-21 |
US20040084774A1 (en) | 2004-05-06 |
AU2003295370A1 (en) | 2004-06-07 |
EP1570029A2 (en) | 2005-09-07 |
CN1735945A (zh) | 2006-02-15 |
AU2003295370A8 (en) | 2004-06-07 |
WO2004041972A3 (en) | 2004-07-15 |
JP2006504855A (ja) | 2006-02-09 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR20050084638A (ko) | 기체 층 형성 재료 | |
US7141188B2 (en) | Organic compositions | |
US6596467B2 (en) | Electronic device manufacture | |
US6740685B2 (en) | Organic compositions | |
US20020123240A1 (en) | Electronic device manufacture | |
EP1891146B1 (en) | Organo functionalized silane monomers and siloxane polymers of the same | |
EP1632956A1 (en) | Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films | |
KR20110014540A (ko) | 전자 디바이스 제조 | |
JP2006500769A (ja) | 低k材料用の中間層接着促進剤 | |
JP2012138503A (ja) | 多孔質絶縁膜及びその製造方法 | |
TW200427773A (en) | Organic compositions | |
US20050113472A1 (en) | Porous materials | |
WO1998047943A1 (en) | Stable inorganic polymers | |
US7060204B2 (en) | Organic compositions | |
WO2003060979A2 (en) | Organic compositions for low dielectric constant materials | |
KR20180013520A (ko) | 미세 갭필용 중합체 및 이를 이용한 반도체 소자의 제조 방법 | |
US7148263B2 (en) | Hybrid inorganic/organic low k dielectric films with improved mechanical strength | |
JP2006503165A (ja) | オルガノシロキサン | |
US20070100109A1 (en) | Nanoporous materials and methods of formation thereof | |
KR20050083634A (ko) | 나노다공성 재료 및 이의 제조 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WITN | Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid |