JP2006504855A - ガス層形成材料 - Google Patents

ガス層形成材料 Download PDF

Info

Publication number
JP2006504855A
JP2006504855A JP2004550397A JP2004550397A JP2006504855A JP 2006504855 A JP2006504855 A JP 2006504855A JP 2004550397 A JP2004550397 A JP 2004550397A JP 2004550397 A JP2004550397 A JP 2004550397A JP 2006504855 A JP2006504855 A JP 2006504855A
Authority
JP
Japan
Prior art keywords
polymer
acenaphthylene
layer
copolymer
gas layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2004550397A
Other languages
English (en)
Inventor
リー,ボ
チヨウ,デ−リン
ナマン,アナンス
アペン,ポール
Original Assignee
ハネウエル・インターナシヨナル・インコーポレーテツド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ハネウエル・インターナシヨナル・インコーポレーテツド filed Critical ハネウエル・インターナシヨナル・インコーポレーテツド
Publication of JP2006504855A publication Critical patent/JP2006504855A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/36Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes condensation products of phenols with aldehydes or ketones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G61/00Macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain of the macromolecule
    • C08G61/02Macromolecular compounds containing only carbon atoms in the main chain of the macromolecule, e.g. polyxylylenes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L65/00Compositions of macromolecular compounds obtained by reactions forming a carbon-to-carbon link in the main chain; Compositions of derivatives of such polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Laminated Bodies (AREA)
  • Solid-Sorbent Or Filter-Aiding Compositions (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本発明は、アセナフチレンホモポリマー、アセナフチレンコポリマー、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択されるガス層形成材料を提供するものである。形成されたガス層はマイクロチップおよびマルチチップモジュールにおいて使用される。

Description

本発明は半導体装置、特に、ガス層を有する半導体装置に関する。
半導体装置の性能および速度を増加させるための努力において、半導体装置製造業者は、相互接続の線幅および間隔を減少させながら動作減衰量を最小限にしてかつ相互接続の容量結合を減少させることを追及してきている。電力消費を減少させかつ電気容量を低減させるための1つの方法は、相互接続を分離する絶縁材料または誘電体の誘電定数(「k」とも言う)を減少させる方法である。低い誘電定数を有する絶縁材料は、それらが、一般的に、速い信号伝播を可能とし、電気容量および導体ライン間の混信を減少させ、かつ集積回路を駆動させるのに必要とされる電圧を低くするので特に望ましいものである。
空気は、1.0の誘電定数を有するので、最大の目標は、絶縁材料の誘電定数を1.0の理論制限値まで下げることであり、絶縁材料の誘電定数を減少させるためのいくつかの方法が、当該技術分野において知られている。これらの技法には、フッ素などの元素を組成物に添加してバルク材料の誘電定数を減少させることがある。kを減少させるためのその他の方法は、代替的な誘電材料マトリックスの使用を含む。その他の方法は、空隙をマトリックス中に導入する方法である。
したがって、将来の半導体装置に所望される改善された機能と速度を達成するためには、相互接続線幅が減少するにつれて、絶縁材料の誘電定数が同時に減少することが必要とされる。例えば、0.13または0.10ミクロンおよびそれ以下の最小形状寸法を有する装置は<3の誘電定数(k)を有する絶縁材料を必要とする。
現在は、二酸化珪素(SiO)とSiOの変性物、例えば、フッ素化二酸化珪素またはフッ素化シリコンガラス(以後FSG)が使用されている。これらの酸化物は、約3.5〜4.0の範囲の誘電定数を有し、半導体装置において誘電体として一般的に使用されている。SiOとFSGは、半導体装置製造の熱サイクルおよび加工段階に耐えるために必要とされる機械的ならびに熱的安定性を有するが、さらに低い誘電定数を有する材料がこの業界において求められている。
誘電材料を蒸着させるために使用される方法はスピン蒸着(以後SOD)と化学蒸着(以後CVD)の2つの範疇に分けることができる。低誘電定数材料を開発するためのいくつかの努力は、化学組成(有機、無機、有機/無機のブレンド)を変えるかまたは誘電体マトリックス(多孔性、無孔性)を変化させることを含む。表1は、2.0〜3.9の範囲の誘電定数を有するいくつかの材料の開発をまとめたものである(PE=プラズマ助長;HDP=高密度プラズマ)。しかしながら、表1に示した刊行物に開示された誘電材料とマトリックスは、例えば、高い機械的安定性、高い熱安定性、高いガラス転移温度、高い弾性率または硬度と同時に、溶媒和ができ、スピンできあるいは基体、ウェハまたはその他の表面上に蒸着できるような、有効な誘電材料にとっては望ましくしかも必要でもある多くの物理的ならびに化学的性質の組合せを示していない。したがって、誘電材料および層として使用され得るその他の化合物および材料を、たとえこれらの化合物または材料がそれらの現在の形態において誘電材料として現に検討されていないとしても、研究することが有益であるかも知れない。
Figure 2006504855
半導体装置の誘電定数を減少させるためのその他の方法は、空隙の包含である。空隙形成のための1つの方法は、V.Arnal、「Integration of a 3 Level Cu−SiO Air Gap Interconnect for Sub 0.1 Micron CMOS Technologies」、2001 Proceedings of International Interconnect Technology Conference(2001年6月4〜6日)で教示されるように、選択された銅線間で酸化物をエッチングする方法である。SiOはおよそ4.0の誘電定数を有するので、エッチングされていない酸化物は、バルク誘電体、キャップ、エッチ停止およびハードマスクを含む層間誘電体構造の誘電定数として定義される望ましくないkeffectiveの一因となる。また、米国特許第5117276号(Michael E.Thomas等)を参照。また、米国特許第6268262号、第6268277号および第6277705号を参照。
空隙を発生させるためのその他の方法は、B.P.Shieh等、「Electromigration Reliability of Low Capacitance Air−Gap Interconnect Structures」、2002 Proceedings of International Interconnect Technology Conference(2002年6月3〜5日)で教示されるように、金属線の上隅に「パンの一塊(breadloafing)」をもたらす不適合なシラン蒸着技法を使用する方法である。これまでの方法は、望ましくない不規則な形状並びに機械的な欠点をもたらす、金属線よりも高いか、あるいは、より高いkeffectiveをもたらす、望まれるよりも小さい空隙を作る。また、米国特許第6281585号および第6376330号を参照。
Hollie A.Reed等、「Porous Dielectrics and Air−Gaps Created by Sacrificial Placeholders」、International SEMATECH Ultra Low k Workshop(2002年6月6〜7日)は、ポリカーボネートとポリノルボルネンホモポリマーが、空隙を作るのに使用できるかも知れないことを教示している。米国特許出願公開第2002/0122648号は、ポリノルボルネン、ポリカーボネート、ポリエーテルおよびポリエステルを含む空隙形成材料を教示している。米国特許出願公開第2002/0136481号は、有用な空隙形成材料がポリホルムアルデヒドであることを教示している。また、米国特許第6316347号を参照。米国特許第6380106号は、ポリエチレングリコール、ポリプロピレングリコール、ポリブタジエン、フッ素化無定形炭素およびポリカプロラクトンジオールから成る揮発性充填材料の使用を教示している。国際公開WO02/19416は、ポリメチルメタクリレート、ポリスチレンおよびポリビニルアルコールなどの空隙ポリマーを教示している。米国特許第6346484号は、ポリ(メチルアクリレート)、パリレンおよびノルボルネンベースの材料などの空隙形成材料を教示している。
我々の同時係属特許出願(番号10/158513(2002年5月30日出願))において、我々は、非官能化ポリアセナフチレンホモポリマー、官能化ポリアセナフチレンホモポリマー、ポリアセナフチレンコポリマー、ポリ(2−ビニルナフタレン)およびポリ(ビニルアンスラセン)および相互間のブレンドを含むポロゲン(porogen)を開示し、特許請求した。
半導体製造業者は、改善されたガス層形成材料、特に、300℃で1時間保持した後で、材料の熱分解前のエッチングおよびクリーニングを含むがこれらに限定されない加工段階中での寸法および化学的安定性を確実にするために、2%未満の重量損失を有する材料を必要としている。残念ながら、ポリノルボルネンホモポリマーおよびコポリマーは、図1および2において分かるとおり、この厳しい業界の要件を満たさない。Hollie A.Reed等の論文は、この業界要件には触れていないので、Hollie A.Reed等の論文は、当業者を業界の要求に合致している本発明へと導くものではない。さらに、ポリエチレングリコール、ポリプロピレングリコールおよびポリブタジエンは、この業界の要件に合致しない。さらに、Hollie A.Reed等は、その窒素含有量によって集積スキームにおいては望ましくないポリイミドキャッピング層を教示している。
さらに、少なくとも約200℃のガラス転移温度(Tg)を有する材料が、必要とされる集積加工要件に耐えるために必要とされる。残念ながら、米国特許第6380106号のポリエチレングリコール、ポリプロピレングリコール、ポリブタジエン、フッ素化無定形炭素およびポリカプロラクトンジオールは200℃未満のTgを有する。
本発明は、300℃で1時間保持後に2%未満の重量損失を有しかつ都合よく低いkeffectiveと一層均一なガス層形成をもたらす材料と方法を提供することによって当該技術分野におけるこの要望に答えるものである。本発明の材料は、また、良好な機械的性質、接着性、化学的かつ熱的安定性、達成可能なフィルムの厚さの範囲、低いガス抜け、熱分解後の低いkeffectiveおよび必要とされている半導体製造条件下での集積に対してそれらを魅力的な候補者にする分解特性を有する。
本発明は、アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択されるガス層形成材料を提供するものである。好ましくは、この材料は、300℃で1時間保持後に2%未満の重量損失を有する。
本発明は、また、アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択される材料を使用する段階を含むガス層形成方法を提供するものである。好ましくは、この材料は、300℃で1時間保持後に2%未満の重量損失を有する。
本発明は、
(a)層間誘電体層において、(i)約200℃より高いガラス転移温度、(ii)300℃で1時間保持後に2%未満の重量損失、および(iii)約350℃より高い分解温度を有するポリマーを導入する段階、
(b)前記ポリマーを約350℃より高い温度に加熱する段階、および
(c)前記加熱されたポリマーを前記層間誘電体層から除去する段階
を含む方法を提供するものである。
本発明は、また、
(a)(i)約200℃より高いガラス転移温度、(ii)300℃で1時間保持後に2%未満の重量損失、および(iii)約350℃より高い分解温度を有するポリマーの層を形成し、
(b)前記ポリマー層を分解し、および
(c)前記分解されたポリマー層を揮発させて前記ガス層を形成する
ことによって形成されるガス層を含むマイクロチップを提供するものである。
本明細書で使用される「ガス層」と言う用語は、マイクロ電子装置における層間誘電体層における空隙またはセルを有するフィルムまたは被膜およびマイクロ電子装置における層間誘電体層においてガスによって占められる空間を意味するすべてのその他の用語を含むものである。適当なガスとしては、比較的に純粋なガスおよびそれらの混合物が挙げられる。主にNとOの混合物である空気は、空隙内に普通に分布するが、窒素、ヘリウム、アルゴン、COまたはCOなどの純粋なガスも企図される。本明細書で使用される「ガス層形成材料」とは、層、フィルムまたは被膜に形成することができ、加工処理ができかつ除去できるものである。
ポリマー:
本発明のポリマーは、熱によって、放射線、機械的エネルギーまたは粒子放射に暴露することによって、または、溶剤抽出または化学的エッチングによって、劣化されることができる。熱劣化性ポリマーが好ましい。本明細書で使用される「熱劣化性ポリマー」と言う用語は、熱分解性、熱劣化性、熱解重合性であり、あるいは他の仕方で崩壊することのできるものであって、固体、液体または気体材料を含む分解性ポリマーを意味する。分解されたポリマーは、マイクロ電子装置における層間誘電体層におけるガス層を創り出すために、部分的にまたは完全に架橋されたマトリックスから除去可能でありあるいはマトリックスを通して揮発または拡散することができ、したがって、層間誘電体層の誘電定数を低くする。COなどの超臨界材料を、熱劣化性ポリマーおよび分解された熱劣化性ポリマーフラグメントを除去するために使用することができる。さらに好ましくは、熱劣化性ポリマーは、約300℃より高いガラス転移温度(Tg)を有する。好ましくは、本発明の熱劣化性ポリマーは、約350℃以上の劣化または分解温度を有する。好ましくは、劣化または分解された熱劣化性ポリマーは、約280℃以上の温度で揮発する。
有用な熱劣化性ポリマーとしては、好ましくは、アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーが挙げられる。
有用なポリアセナフチレンホモポリマーは、好ましくは約300〜約100,000、さらに好ましくは約15,000〜約70,000の重量平均分子量を有してもよく、2,2’−アゾビスイソブチロニトリル(AIBN)、ジ−t−ブチルアゾジカルボキシレート、ジ−イソプロピルアゾジカルボキシレート、ジ−エチルアゾジカルボキシレート、ジ−ベンジルアゾジカルボキシレート、ジ−フェニルアゾジカルボキシレート、1,1’−アゾビス(シクロヘキサンカルボニトリル)、ベンゾイルペルオキシド(BPO)、t−ブチルペルオキシドおよび三フッ化ホウ素ジエチルエーテレートなどの様々な開始剤を使用してアセナフチレンから重合してもよい。官能化ポリアセナフチレンホモポリマーは、アリルアルコール、プロパルギルアルコール、ブチノール、ブテノールまたはヒドロキシエチルメタクリレートなどの二重または三重結合アルコールで失活させるカチオン重合によって、鎖端に三重結合または二重結合などの末端基を有してもよい。
ヨーロッパ特許公開315453は、シリカと或種の金属酸化物が、炭素と反応して揮発性亜酸化物とガス状酸化炭素を形成して空隙を形成すること、および炭素源としてポリアセナフチレンを含む適当な有機ポリマーが挙げられることを教示している。しかしながら、この文献は、ポリアセナフチレンがガス層形成材料であることを教示も示唆もしていない。
有用なポリアセナフチレンコポリマーは、線状ポリマー、スターポリマーまたは超分岐したものであってもよい。コモノマーは、ポリアセナフチレンホモポリマーの構造に類似のコポリマー構造をもたらす嵩高な側鎖基を有していても、またはポリアセナフチレンホモポリマーの構造に非類似のコポリマー構造をもたらす嵩高ではない側鎖基を有していてもよい。嵩高な側鎖基を有するコモノマーとしては、ビニルピバレート、t−ブチルアクリレート、スチレン、α−メチルスチレン、t−ブチルスチレン、2−ビニルナフタレン、5−ビニル−2−ノルボルネン、ビニルシクロヘキサン、ビニルシクロペンタン、9−ビニルアントラセン、4−ビニルビフェニル、テトラフェニルブタジエン、スチルベン、t−ブチルスチルベンおよびインデン、好ましくはビニルピバレートが挙げられる。ヒドリドポリカーボシランは、追加のコモノマーとして、または、アセナフチレンと上記のコモノマーの少なくとも1種とのコポリマー成分として使用されてもよい。有用なヒドリドポリカーボシランの一例は、10%または75%のアリル基を有する。嵩高ではない側鎖基を有するコモノマーとしては、酢酸ビニル、メチルアクリレート、メチルメタクリレートおよびビニルエーテルが挙げられ、好ましくは酢酸ビニルが挙げられる。
好ましくは、コモノマーの量は、コポリマーの約5〜約50モル%の範囲である。これらのコポリマーは開始剤を使用してフリーラジカル重合によって作られてもよい。有用な開始剤としては、好ましくは、2,2’−アゾビスイソブチロニトリル(AIBN)、ジ−t−ブチルアゾジカルボキシレート、ジ−イソプロピルアゾジカルボキシレート、ジ−エチルアゾジカルボキシレート、ジ−ベンジルアゾジカルボキシレート、ジ−フェニルアゾジカルボキシレート、1,1’−アゾビス(シクロヘキサンカルボニトリル)、ベンゾイルペルオキシド(BPO)およびt−ブチルペルオキシドが挙げられ、さらに好ましくはAIBNが挙げられる。コポリマーは、また、三フッ化ホウ素ジエチルエーテレートなどの開始剤を使用してカチオン重合によって作られてもよい。好ましくは、コポリマーは、約15,000〜約70,000の分子量を有する。
アセナフチレンとコモノマーとのコポリマーの熱特性は以下の表2において示される。表2において、BAはブチルアクリレートを表し、VPはビニルピバレートを表し、VAは酢酸ビニルを表し、AIBNは2,2’−アゾビスイソブチロニトリルを表し、BFは三フッ化ホウ素ジエチルエーテレートを表し、DBADCはジ−t−ブチルアゾジカルボキシレートを表す。W1は室温〜250℃での重量損失割合(%)を表し、W2は250℃で10分の重量損失割合(%)を表し、W3は250℃〜400℃での重量損失割合(%)を表し、W4は400℃で1時間の重量損失割合(%)を表し、W5は合計の重量損失を表す。
Figure 2006504855
好ましいポリビニルノルボルネンは次式
Figure 2006504855
(式中、nは、50〜1,000であり、R、RおよびRは、水素、アルキル、アルキルまたはアリールである)のものである。
好ましいポリノルボルネン誘導体としては、次式のポリノルボルネン−コ−アセナフチレン
Figure 2006504855
(式中、コポリマーはランダムまたはブロックであってもよく、Rは、フェニル、ビフェニル、n−ブチル、n−ヘキシル、水素、−Si(OCH、−Si(OC、−Si(OAc)および−SiClから選択され、n≠0、n≠0かつn+n=100%である)、
次式のポリノルボルネン−コ−インデン
Figure 2006504855
(式中、コポリマーはランダムまたはブロックであってもよく、Rは、フェニル、ビフェニル、n−ブチル、n−ヘキシル、水素、−Si(OCH、−Si(OC、−Si(OAc)および−SiClから選択され、n≠0、n≠0かつn+n=100%である)、
次式のコポリノルボルネン−コ−アセナフチレン
Figure 2006504855
(式中、トリポリマーはランダムまたはブロックであってもよく、RおよびRは、フェニル、ビフェニル、n−ブチル、n−ヘキシル、水素、−Si(OCH、−Si(OC、−Si(OAc)および−SiClから独立に選択され、n≠0、n≠0、n≠0かつn+n+n=100%である)、
次式のコポリノルボルネン−コ−インデン
Figure 2006504855
(式中、トリポリマーはランダムまたはブロックであってもよく、RおよびRは、フェニル、ビフェニル、n−ブチル、n−ヘキシル、水素、−Si(OCH、−Si(OC、−Si(OAc)および−SiClから独立に選択され、n≠0、n10≠0、n11≠0かつn+n10+n11=100%である)、が挙げられる。
好ましい架橋系としては、次式のビニル系が挙げられる
Figure 2006504855
その他のビニルモノマーとしては、スチレンおよび/またはジビニルベンゼンとのコモノマーおよび架橋基としてマレイミドおよびビス−マレイミドが挙げられる。有用な化学は、Mark A.Hoisington、Joseph R.Duke、およびPaul G.Apen、「High Temperature,Polymeric,Structural Foams from High Internal Phase Emulsion Polymerizations」(1996年)およびP.Hodge等、「Preparation of Crosslinked Polymers using Acenaphthylene and the Chemical Modification of these Polymers」、Polymers 26(11)(1985年)によって教示され、その全体において本明細書に組み込まれる。
その他の好ましい架橋系としては、次のようなアクリレートおよび/またはメタクリレート系が挙げられる
Figure 2006504855
その他の有用な熱劣化性ポリマーとしては、セルロースおよびポリ炭化水素が挙げられる。
同一出願人の米国特許第5986045号、第6124421号および第6303733号(その全体において本明細書に組み込まれる)において記載されているようなポリ(アリーレンエーテル)組成物は本発明において使用されてもよい。
好ましい熱劣化性ポリマーは、ポリアセナフチレンホモポリマー、ポリアセナフチレンコポリマーおよびポリノルボルネン誘導体である。さらに好ましい熱劣化性ポリマーは、ポリアセナフチレンホモポリマーとポリアセナフチレンコポリマーである。最も好ましい熱劣化性ポリマーはポリアセナフチレンホモポリマーである。
好ましい熱劣化性ポリマーは、300℃で1時間の保持後に、熱劣化性ポリマーの重量損失がより低いように加工または処理されてもよい。そのような処理としては、300℃硬化などの前処理、熱劣化性ポリマーの官能化または次式のシランなどの添加剤の5〜15重量%の使用が挙げられる
Figure 2006504855
(式中、R10、R11、R12およびR13は、同じまたは異なり、水素、アルキル、アリール、アルコキシ、アリールオキシ、アセトキシ、塩素またはそれらの組合せから選択され、R10、R11、R12およびR13の少なくとも1つは、アルコキシ、アリールオキシ、アセトキシまたは塩素である)、ハネウェル社(Honeywell)のHOSP(登録商標)製品または同一出願人の米国特許第6043330号および第6143855号または係属特許出願第10/161561号(2002年6月3日出願)によって教示されるようなオルガノシロキサン、ハネウェルACCUGLASS(登録商標)T−04のフェニルシロキサンポリマー、ハネウェルACCUGLASS(登録商標)T−08のメチルフェニルシロキサンポリマー、ハネウェルACCUSPIN(登録商標)720のシロキサンポリマー、米国特許第4756977号、第5370903号および第5486564号によって教示されるような水素シルセスキオキサンまたは、米国特許第6143855号(すべてがその全体において本明細書に組み込まれる)によって教示されるようなメチルシルセスキオキサン、さらに前駆体である)。
少量の熱安定性添加剤が、Siを含めて使用されてもよい。これらの添加剤は、ポリマーと物理的ブレンドを形成してもよくまたはポリマーと反応してもよい。
接着促進剤:
好ましくは、接着促進剤が熱劣化性ポリマーと一緒に使用される。接着促進剤は、熱劣化性ポリマー前駆体と反応したコモノマーまたは熱劣化性ポリマー前駆体に対する添加剤であってもよい。
有用な接着促進剤の例は、同一出願人の係属出願第158513号(2002年5月30日出願)(その全体において本明細書に組み込まれる)において記載されている。本明細書で使用される「接着促進剤」と言う用語は、熱劣化性ポリマーと一緒に使用された時に、熱劣化性ポリマーに比べて、基体へのその接着性を改善するすべての成分を意味する。
好ましくは、接着促進剤は、その二官能性が同じまたは異なっていてもよく、第1の官能性と第2の官能性の少なくとも1つが、Si含有基、N含有基、O含有基に結合したC、水酸基およびC含有基に二重結合したCから成る群から選択される、少なくとも二官能性を有する化合物である。本明細書で使用される「少なくとも二官能性を有する化合物」と言う語句は、相互作用または反応することのできる、または以下のような結合を形成することのできる少なくとも2種の官能基を有するすべての化合物を意味する。官能性基は、付加反応、求核および求電子置換または脱離、ラジカル反応等を含む多くの方法において反応してもよい。さらなる別の反応は、また、ファンデルワールス、静電結合、イオン結合および水素結合などの非共有結合の形成を含んでもよい。
接着促進剤においては、好ましくは、第1の官能性と第2の官能性の少なくとも1つは、Si含有基、N含有基、O含有基に結合したC、水酸基およびC含有基に二重結合したCから選択される。好ましくは、Si含有基は、Si−H、Si−OおよびSi−Nから選択され、N含有基は、C−NHまたはその他の第二級および第三級アミン、イミン、アミドおよびイミドから選択され、O含有基に結合したCは、=CO、ケトンおよびアルデヒドなどのカルボニル基、エステル、−COOH、1〜5個の炭素原子を有するアルコキシル、エーテル、グリシジルエーテルおよびエポキシから選択され、水酸基はフェノールであり、そして、C含有基に二重結合したCは、アリルおよびビニル基から選択される。半導体用途にとってさらに好ましい官能性基としては、Si含有基、O含有基に結合したC、水酸基およびビニル基が挙げられる。
Si含有基を有する好ましい接着促進剤の例は、式I:(R14(R15Si(R16(R17(式中、R14、R15、R16およびR17は、それぞれに独立に、水素、ヒドロキシル、不飽和または飽和アルキル、置換または非置換アルキル(置換基は、アミノまたはエポキシである)、飽和または不飽和アルコキシル、不飽和または飽和カルボン酸基またはアリールを表し、R14、R15、R16およびR17の少なくとも2種は、水素、ヒドロキシル、飽和または不飽和アルコキシル、不飽和アルキル、または不飽和カルボン酸基を表し、かつk+l+m+n≦4である)のシランである。例としては、HC=CHSi(CHHおよびHC=CHSi(R18(式中、R18は、CHO、CO、AcO、HC=CH、またはHC=C(CH)O−である)、またはビニルフェニルメチルシランなどのビニルシラン;式HC=CHCH−Si(OCおよびHC=CHCH−Si(H)(OCHのアリルシラン;(3−グリシドキシプロピル)メチルジエトキシシランおよび(3−グリシドキシプロピル)トリメトキシシランなどのグリシドキシプロピルシラン;式HC=(CH)COO(CH−Si(OR19(式中、R19は、アルキル、好ましくはメチルまたはエチルである)のメタクリロキシプロピルシラン;HN(CHSi(OCHCH、HN(CHSi(OH)またはHN(CHOC(CHCH=CHSi(OCHを含むアミノプロピルシラン誘導体が挙げられる。前述のシランは、ゲレスト社(Gelest)から市販されている。
O含有基に結合したCを有する好ましい接着促進剤の例は、1,1,1−トリス−(ヒドロキシフェニル)エタントリグリシジルエーテル(トリクエスト社(TriQuest)から市販されている)を含むグリシジルエーテルが挙げられるがこれに限定されない。
O含有基に結合したCを有する好ましい接着促進剤の例は、少なくとも1種のカルボン酸基を含む不飽和カルボン酸のエステルである。例としては、3官能性メタクリレートエステル、3官能性アクリレートエステル、トリメチロールプロパントリアクリレート、ジペンタエリスリトールペンタアクリレートおよびジグリシジルメタクリレートが挙げられる。前述のものは、サートマー社(Sartomer)からすべて市販されている。
ビニル基を有する好ましい接着促進剤の例は、環状基がピリジン、芳香族またはヘテロ芳香族である、ビニル環状ピリジンオリゴマーまたはポリマーである。有用な例としては、ライリー社(Reilly)から市販されている2−ビニルピリジンおよび4−ビニルピリジン(これらに限定されない);ビニル芳香族;およびビニルキノリン、ビニルカルバゾール、ビニルイミダゾールおよびビニルオキサゾール(これらに限定されない)を含むビニルヘテロ芳香族が挙げられる。
Si含有基を有する好ましい接着促進剤の例は、同一出願人の係属中の許可された米国特許出願第09/471299号(1999年12月23日出願)(その全体において参照により本明細書に組み込まれる)において記載されているポリカーボシランである。ポリカーボシランは、式IIのものである:
Figure 2006504855
(式中、R20、R26およびR29は、それぞれ独立に、置換または非置換アルキレン、シクロアルキレン、ビニレン、アリレンまたはアリーレンを表し、R21、R22、R23、R24、R27およびR28は、それぞれ独立に、水素原子または、アルキル、アルキレン、ビニル、シクロアルキル、アリルまたはアリールを含む有機基を表し、直鎖または分岐であってもよく、R25は、オルガノシリコン、シラニル、シロキシルまたは有機基を表し、p、q、rおよびsは、[4≦p+q+r+s≦100,000]の条件を満足し、かつqとrとsは、合計してまたは独立にゼロであってもよい)。有機基は、18個までの炭素原子を含んでもよいが、一般的には、約1〜約10個の炭素原子を含む。有用なアルキル基としては、−CH−および−(CH−(t>1)が挙げられる。
本発明の好ましいポリカーボシランとしては、R20が置換または非置換アルキレンまたはフェニルであり、R21基が水素原子であり、ポリカーボシラン鎖においてぶらさがり基が存在しない、すなわち、q、rおよびsがすべてゼロであるジヒドリドポリカーボシランが挙げられる。ポリカーボシランのその他の好ましい基は、式IIのR21、R22、R23、R24、R25およびR28基が、2〜10個の炭素原子を有する置換または非置換アルケニル基である。アルケニル基は、エテニル、プロペニル、アリル、ブテニルまたは、10個までの炭素原子を有するその他の任意の不飽和有機バックボーン基であってもよい。アルケニル基は事実上ジエニルであってもよく、別のアルキルまたは不飽和有機ポリマーバックボーンにぶらさがったまたは置換した不飽和アルケニル基を含んでもよい。これらの好ましいポリカーボシランの例としては、ポリジヒドリドカーボシラン、ポリアリルヒドリジドカーボシランおよびポリジヒドリドカーボシランとポリアリルヒドリドカーボシランのランダムコポリマーなどのジヒドリドまたはアルケニル置換ポリカーボシランが挙げられる。
さらに好ましいポリカーボシランにおいては、式IIのR21基は水素原子であり、R21はメチレンでありかつぶらさがり基q、rおよびsがゼロである。本発明のその他の好ましいポリカーボシラン化合物は、R21とR27が水素であり、R20とR29がメチレンであり、R28がアルケニルであり、かつぶらさがり基qおよびrがゼロである式IIのポリカーボシランである。ポリカーボシランはよく知られた従来方法で調製されてもよく、または、ポリカーボシラン組成物の製造業者によって提供されてもよい。最も好ましいポリカーボシランにおいては、式IIのR21基は水素原子であり、R24は−CH−であり、q、rおよびsはゼロであり、pは5〜25である。これらの最も好ましいポリカーボシランは、スターファイヤーシステム社(Starfire Systems,Inc.)から得られてもよい。これらの最も好ましいポリカーボシランの特定の例は、以下の通りである:
Figure 2006504855
式IIにおいて見ることができるように、本発明において利用されるポリカーボシランは、r>0の時に、シロキシル基の形態における酸化基を含んでもよい。したがって、R25は、r>0の時に、オルガノシリコン、シラニル、シロキシルまたは有機基を表す。ポリカーボシランの酸化バージョン(r>0)は、極めて有効に機能しかつ本発明の範囲内のものであることが理解されるべきである。同様に明らかであるように、rは、p、qおよびsから独立にゼロであることができ、唯一の条件は、式IIのポリカーボシランの基p、q、rおよびsが、[4<p+q+r+s<100,000]の条件を満足しなければならず、かつqとrが合計してまたは独立にゼロであることができることである。
ポリカーボシランは、多くの製造業者から現在市販されている出発物質から、通常の重合方法を使用して製造されてもよい。ポリカーボシランの合成例として、出発物質は、通常のオルガノシラン化合物から製造されてもよくまたは、ポリシランとポリボロシロキサンとの混合物を不活性雰囲気において加熱して対応するポリマーを製造するか、ポリシランと低分子量カーボシランとの混合物を不活性雰囲気において加熱して対応するポリマーを製造するか、またはポリシランと低分子量カーボシランとの混合物を不活性雰囲気においてかつポリボロジフェニルシロキサンなどの触媒の存在下で加熱して対応するポリマーを製造することによって、出発物質としてのポリシランから製造されてもよい。ポリカーボシランは、また、米国特許第5153295号(参照により本明細書に組み込まれる)において報告されているグリニャール反応によって合成されてもよい。
水酸基を有する好ましい接着促進剤の例は、式III:−[R30(OH)(R31)]−(式中、R30は、置換または非置換アルキレン、シクロアルキレン、ビニル、アリルまたはアリールであり、R31は、アルキル、アルキレン、ビニレン、シクロアルキレン、アリレンまたはアリールであり、u=3〜100である)のフェノール−ホルムアルデヒド樹脂またはオリゴマーである。有用なアルキル基の例としては、−CH−および−(CH−(ここで、v>1である)が挙げられる。特に有用なフェノール−ホルムアルデヒド樹脂オリゴマーは1500の分子量を有し、シェネクタディーインターナショナル社(Schenectady International Inc.)から市販されている。
本発明の接着促進剤は、本発明の熱劣化性ポリマーの重量を基準にして、好ましくは、約1%〜約10%、さらに好ましくは約2%〜約7%の少量で有効な量において添加される。
ガス層形成:
本明細書で使用される「劣化する」と言う用語は、共有結合の切断を意味する。そのような結合の切断は、不均一開裂および均一開裂を含む多くの方法において生起してもよい。結合の切断は完全である必要はなく、すなわち、すべての切断可能な結合が開裂されなければならないものではない。さらに、結合の切断は、別の結合よりも早くいくつかの結合において生起してもよい。例えばエステル結合は、一般的に、アミド結合よりも安定性に欠け、したがって、速い速度で開裂される。結合の切断は、また、劣化した部分の化学組成によって互いに異なるフラグメントの放出をもたらす。
ガス層形成方法においては、熱劣化性ポリマーは、基体(以下に記載される)に適用され、焼成され、そして硬化されてもよい。好ましい熱劣化性ポリマーが熱可塑性であれば、硬化は必要でないかも知れない。しかしながら、好ましい熱劣化性ポリマーが熱硬化性であれば、硬化は必要である。本発明の組成物を電子立体基体に適用後、被覆された構造体は、約50℃から約350℃までの範囲で温度を上昇させて被膜を重合させるための焼成および硬化の熱加工に掛けられる。硬化温度は、より低温ではここでの反応を完結するのには不十分であるので、少なくとも約300℃である。非熱分解技法が使用される場合は、さらに高い硬化温度が使用されてもよい。硬化は、電気炉、ホットプレート等などの通常の硬化室で行われてもよく、一般的には、硬化室において不活性(非酸化性)雰囲気(窒素)において行われる。炉またはホットプレート硬化に加えて、本発明の組成物は、また、同一出願人の特許出願PCT/US96/08678および米国特許第6042994号、第6080526号、第6177143号および第6235353号(その全体において参照により本明細書に組み込まれる)によって教示されるように、紫外線放射、マイクロ波放射または電子ビーム放射への暴露によって硬化されてもよい。すべての非酸化性または還元性雰囲気(例えば、アルゴン、ヘリウム、水素および窒素のプロセスガス)は、それらが、本発明のポリマーの硬化を行うのに有効であれば、本発明の実施において使用されてもよい。架橋ポリマーが使用される場合は、重合は、熱または光開始剤の添加または添加なしで、B−ステージ法においてまたはスピン/焼成/硬化段階中に生起してもよい。
熱エネルギーは、熱劣化性ポリマーをその出発成分またはモノマーに実質的に劣化または分解させるために硬化したポリマーに適用される。本明細書で使用される「実質的に劣化させる」とは、好ましくは、熱劣化性ポリマーの少なくとも80重量%が劣化または分解することを意味する。好ましいポリアセナフチレンをベースとしたホモポリマーまたはコポリマー熱劣化性ポリマーに対して、我々は、熱脱離質量分光法などの分析技法を使用することによって、熱劣化性ポリマーが、その出発成分のアセナフチレンモノマーおよびコモノマーに劣化、分解または解重合することを見出した。熱劣化は、マイクロ波、音波、UV放射、電子ビーム、赤外線放射およびX線を含むがこれらに限定されないその他の形態の物理的エネルギーの助けを借りてもよい。
熱エネルギーは、また、熱硬化性成分マトリックスから実質的に劣化または分解した熱劣化性ポリマーを揮発させるために適用される。好ましくは、同じ熱エネルギーが劣化および揮発段階の両方に使用される。揮発された劣化ポロゲンが増加するにつれて、結果として得られるマイクロ電子装置の空隙率が増加する。
好ましくは、ガス層に隣接する誘電体層に対して使用される硬化温度は、また、熱劣化性ポリマーを実質的に劣化させ、それを揮発させる。一般的な硬化温度および条件は、以下の有用性の部分で記述される。
形成されたガス層は、好ましくは約0.1〜約2ミクロンの厚さを有する。マイクロ電子装置は、1個より多く存在するガス層を有してもよい。
あるいはまた、半導体装置の残りの部分に悪影響を及ぼさないで、ポリマーを少なくとも部分的に除去するその他の方法または条件が使用されてもよい。好ましくは、ポリマーは、実質的に除去される。一般的な除去方法は、紫外線放射、X線、レーザーまたは赤外線放射などの電磁放射を含みこれらに限定されない放射への暴露、超音波処理または物理的圧力などの機械的エネルギー、ガンマ線、アルファ線、中性子線または電子ビームなどの粒子放射、気相加工処理および超臨界流体を含む溶剤抽出/溶解または、気体、蒸気、超臨界流体に担持されたエッチング剤を含む化学的エッチングを含みこれらに限定されない。
有用性:
本発明は、単一集積回路(「IC」)チップに付随する相互接続において使用されてもよい。集積回路チップは、一般的に、その表面上に、本発明の組成物の複数の層と多層の金属導体を有する。それは、また、集積回路の同じ層またはレベルの離散した金属導体または導体領域の間に本発明組成物の領域を含んでいてもよい。
本明細書において意図される基体は、あらゆる望ましい実質的に固体の材料を含んでもよい。特に望ましい基体層は、フィルム、ガラス、セラミック、プラスチック、金属または被覆金属、または複合材料を含む。好ましい実施形態においては、基体は、珪素またはガリウムヒ素ダイまたはウェハ表面、銅、銀、ニッケルまたは金メッキリードフレームにおいて見出されるようなパッケージ表面、回路板またはパッケージ相互接続トレースにおいて見出されるような銅表面、バイアウォール(via−wall)または補強材界面(「銅」は裸の銅またはその酸化物についての考慮を含む)、ポリイミドをベースとした可撓性パッケージにおいて見出されるもののようなポリマーをベースとしたパッケージまたは板界面、鉛またはその他の金属合金ハンダ屑表面、ガラスおよびポリマーを含む。有用な基体としては、珪素、窒化珪素、酸化珪素、オキシ炭化珪素、二酸化珪素、炭化珪素、オキシ窒化珪素、窒化チタン、窒化タンタル、窒化タングステン、アルミニウム、銅、タンタル、オルガノシロキサン、オルガノシリコンガラスおよびフッ素化シリコンガラスが挙げられる。その他の実施形態においては、基体は、珪素、銅、ガラスおよびポリマーなどのパッケージおよび回路板工業において一般的な材料を含む。本発明の組成物は、また、マイクロチップおよびマルチチップモジュールにおいて誘電基体材料として使用されてもよい。
本発明は、集積回路製造のための二重ダマスク模様(銅などの)加工および基板金属(例えば、アルミニウムまたはアルミニウム/タングステン)加工において使用されてもよい。本発明の組成物は、Michael E.Thomas、Ph.D.、「Spin−On Stacked Films for Low keff Dielectrics」、Solid State Technology(2001年7月)(参照によりその全体において本明細書に組み込まれる)によって教示されるように、望ましいすべてのスピン積層フィルムにおいて使用されてもよい。無機、有機または有機と無機のハイブリッド材料などの知られている誘電材料は、本発明において使用してもよい。例としては、フェニルエチニレート化芳香族モノマーまたはオリゴマー;同一出願人の米国特許第5986045号、第6124421号、第6291628号および第6303733号により教示されるようなフッ素化または非フッ素化ポリ(アリーレンエーテル);ビスベンゾシクロブテン;および同一出願人の米国特許第6,143,855号および係属の米国特許出願第10/078919号(2002年2月19日出願)および第10/161561号(2002年6月3日出願)によって教示されるようなオルガノシロキサン;ハネウェルインターナショナル社(Honeywell International Inc.)の市販のHOSP(登録商標)製品;同一出願人の米国特許第6372666号によって教示されるもののようなナノ多孔性シリカ;ハネウェルインターナショナル社の市販のNANOGLASS(登録商標)E製品;同一出願人のWO01/29052によって教示されるオルガノシルセスキオキサン;同一出願人の米国特許第6440550号(それらの全体において本明細書に組み込まれる)によって教示されるフルオロシルセスキオキサンが挙げられる。その他の有用な誘電材料は、同一出願人の係属特許出願PCT/US01/22204(2001年10月17日出願)(我々の同一出願人の係属特許出願US Serial No.09/545058(2000年4月7日出願);US Serial No.09/618945(2000年7月19日出願);US Serial No.09/897936(2001年7月5日出願);およびUS Serial No.09/902924(2001年7月10日出願);および国際公開WO01/78110(2001年10月18日公開)の利益を主張している);PCT/US01/50812(2001年12月31日出願);60/384304(2002年5月30日出願);60/347195(2002年1月8日出願)および60/384303(2002年5月30日出願);60/350187(2002年1月15日出願);および10/160773(2002年5月30日出願);および10/158513(2002年5月30日出願)および10/158548(2002年5月30日出願)(それらの全体において参照により本明細書に組み込まれる)において記載されている。これらの誘電材料は、エッチング停止およびハードマスクとして使用されてもよい。本発明において使用されてもよい底部反射防止被膜は、ハネウェルインターナショナル社の市販のDUO(商標)底部反射防止被膜材料および同一出願人の米国特許第6248457号、第6365765号および第6368400号によって教示されるものである。
分析テスト方法:
示差走査熱量計(DSC):DSC測定は、コントローラー及び関連ソフトウェアを連結したTA Instruments 2920示差走査熱量計(Differential Scanning Calorimeter)を使用して行われた。250℃〜725℃の温度範囲を持つ標準DSCセル(不活性雰囲気:窒素50ml/分)が分析用に使用された。液体窒素は、冷却ガス源として使用された。少量のサンプル(10〜12mg)が、自動DSCアルミニウムサンプルパン(パーツ#990999−901)中に、±0.0001gの精度のMettler Toledo Analyticalはかりを使用して注意深く秤量された。サンプルは、ガス抜きをさせるためにその中心において予め穿孔された蓋を持つパンをカバーすることによって包み込まれた。サンプルは、窒素下で0℃から450℃まで、100℃/分の速度で加熱され(サイクル1)、次いで、100℃/分の速度で0℃まで冷却された。第2のサイクルは、100℃/分の速度で0℃から450℃まで、直ちに行われた(サイクル1の繰り返し)。架橋温度は第1のサイクルから決められた。
ガラス転移温度(Tg):薄いフィルムのガラス転移温度は、温度の関数として薄いフィルムの応力を測定することによって決定された。薄いフィルムの応力測定は、KLA 3220 Flexusによって行われた。フィルムの測定前に、未被覆ウェハは、ウェハそれ自身における応力緩和によるあらゆるエラーを避けるために500℃で60分間アニールされた。ウェハは、次いで、テストされる材料で蒸着され、すべての必要とされる加工段階によって加工された。ウェハは、次いで、応力ゲージに置かれ、温度の関数としてウェハのそりが測定された。この装置は、ウェハの厚さとフィルムの厚さが分かっていることを条件として応力対温度のグラフを計算した。この結果はグラフ形式において表示された。Tg値を決定するために、水平接線が引かれた(応力対温度グラフにおけるゼロの傾斜値)。Tg値は、グラフと水平接線とが交差する点であった。
測定方法それ自身がTgに影響を及ぼし得るので、第1の温度サイクル後に、または、最大温度が使用されたその後のサイクル後に、Tgが決定されたかは報告されるべきである。
等温重量分析(ITGA)重量損失:全重量損失は、TA Instruments熱分析コントローラー及び関連ソフトウェアを連結して使用されたTA Instruments 2950熱重量分析計(Thermogravimetric Analyzer)(TGA)で決定された。プラチネルII熱電対と25℃〜1000℃の温度範囲および0.1℃〜100℃/分の加熱速度を持つ標準炉が使用された。少量のサンプル(7〜12mg)がTGAのはかり(分解能:0.1?g;精度=±0.1%まで)で秤量され、白金パンの上で加熱された。サンプルは100ml/分のパージ速度(60ml/分は炉に対して、40ml/分ははかりに対して行われる)の窒素下でで加熱された。サンプルは窒素下で20℃で20分間で平衡にされ、次いで、温度が、10℃/分の速度で200℃まで上昇され、200℃で10分間保持された。重量損失が計算された。
屈折率:屈折率測定は、J.A.Woollam M−88分光偏光解析計を使用した、厚さ測定と一緒に行われた。コーシーモデル(Cauchy model)が、プシーおよびデルタに対する最適フィットを計算するために使用された。別に注釈がなければ、屈折率は、633nmの波長で報告された(偏光解析法についての詳細は、例えば、「Spectroscopic Ellipsometry and Reflectometry」、H.G.ThompkinsおよびWilliam A.McGahan、John Wiley and Sons,Inc.、1999年において見出すことができる)。
弾性率および硬度:弾性率および硬度は、計装圧入試験を使用して測定された。この測定は、MTS Nanoindenter XP(MTS Systems Corp.、Oak Ridge、TN)を使用して行われた。特に、無負荷曲線からの離散値の測定よりもむしろ弾性率と硬度の正確で連続的な測定を可能にする連続剛性測定法が使用された。この系は、72±3.5GPaの名目弾性率を持つ溶融シリカを使用して較正された。溶融シリカの弾性率は、500〜1000nmの圧入深さの平均値から得られた。薄いフィルムに対しては、弾性率と硬度は、弾性率対深さ曲線の最小値(一般的に、フィルムの厚さの5〜15%)から得られた。
熱膨張係数:使用された装置は、1)フィルムをスピンコートしかつ焼成するためのSVGスピンコーター;2)ウェハを硬化させるためのコスモス炉(Cosmos Furnace);3)後焼成および硬化厚さ測定のためのWoollam M−88分光偏光解析計;および4)Tencor FLX−2320(応力ゲージ):応力温度およびCTE測定、であった。2つの異なる基体がCTE測定にとって必要とされる。この場合、珪素(Si)およびガリウムヒ素(GaAs)基体が使用された。SiとGaAs基体は、500℃で60分間、炉アニールに掛けられた。室温バックグラウンド応力測定は、炉アニール後に両方の基体に対して行われた。フィルムは、SVGスピンコーター上の予めアニールされたウェハ上に被覆され、続いて125℃、200℃および350℃で、それぞれ60秒間、ホットプレート上で焼成された。後焼成の厚さおよびRI測定は、Woollam分光偏光解析計で行われた。ウェハは、コスモス炉R−4を使用して、400℃で60分間で硬化された。後硬化の厚さおよびRI測定は、Woollam分光偏光解析計で行われた。応力温度測定は、FLX−2320で行われた。応力温度測定では一定温度傾斜速度を有することが重要である。温度は、5℃/分で室温から450℃までの傾斜が付けられた。
データ分析は、FLX−2320系についての分析ソフトを使用して行われた。応力−温度データファイルから、各基体に対して1つ、すなわち2つのグラフが作られた。ファイルパスおよび名前は、分析メニューから、Elastic and Expansionディスプレー上にコピーされた。両方のファイルは、Elastic and Expansionディスプレー上にコピーされる。CTE計算は、次の相関関係を使用するFLX−2320ソフトを使用して行われた:
dσ/dT=(E/(1−υ))(α−α
(式中、dσ/dTは、応力対温度(測定された)の微分であり、(E/(1−υ))は、フィルムの二軸弾性率(未知)であり、αは、基体熱膨張係数(既知)であり、αは、フィルム熱膨張係数(未知)である)。
フィルムとSiおよびGaAs基体の平均CTEと二軸弾性率は、ダイアログボックスにおいて表示された。フィルム値はCTE及び二軸弾性率値として報告された。
熱脱離質量分光法:熱脱離質量分光法(TDMS)は、材料を熱処理に掛けながら脱離種を分析することによって材料の熱安定性を測定するために使用される。
TDMS測定は、ウェハヒーターとウェハの前面の近くに配置された質量分光計を備えた高真空系において行われた。ウェハは、ウェハを背後から加熱する加熱ランプを使用して加熱された。ウェハ温度は、ウェハの前面と接触している熱電対によって測定された。加熱ランプおよび熱電対は、いくつかの温度傾斜と浸透サイクルを可能とするプログラム制御できる温度コントローラーに接続された。質量分光計は、Hiden Analytical HAL IV RC RGA 301であった。質量分光計と温度コントローラーは両方とも、質量分光計と温度信号対時間を読み取りかつ記録するコンピュータに接続された。
TDMS分析を行うために、材料は、先ず、標準の加工方法を使用して20.32cm(8インチ)ウェハ上に薄いフィルムとして蒸着された。ウェハは、次いで、TDMS真空系に置かれ、この系は1e−7torr以下の圧力までポンプで下げられた。温度傾斜は、次いで、温度コントローラーを使用して開始された。温度と質量分光計の信号は、コンピュータを使用して記録された。約10℃/分の傾斜速度での一般的な測定に対して、1つの完全な質量走査と1つの温度測定が20秒毎に記録される。一定時間における質量スペクトルおよび一定時間での温度は、測定が完了後に分析できる。
平均孔径直径:多孔性サンプルのN等温線は、77°Kの液体N浴においてサンプル管において浸漬されたサンプルでもって、UHP(超高純度工業用ガス)Nを使用して、Micromeretics ASAP 2000自動等温N収着装置で測定された。
サンプルの調製のために、材料は、先ず、標準の加工条件を使用してシリコンウェハ上に蒸着された。それぞれのサンプルのために、3つのウェハが、およそ6000オングストロームのフィルム厚で調製された。このフィルムは、次いで、粉末サンプルを生成するためにカミソリの刃で削り取ることによってウェハから除去された。これらの粉末サンプルは、それらを秤量する前にオーブン中で180℃で予備乾燥され、この粉末を10mmの内径のサンプル管に注意深く注入し、次いで、180℃で、0.01torrで>3時間脱気された。
吸着および脱着N収着は、次いで、分析によってより長時間が必要とされることが示された場合を除いては5秒の平衡間隔を使用して自動的に測定された。等温線を測定するために必要とされる時間は、サンプルの質量、サンプルの細孔容積、測定されたデータポイントの数、平衡間隔およびP/P許容差に比例した。(Pは、サンプル管におけるサンプルの実際の圧力である。Pは、装置の外側の周囲圧力である)。装置は、N等温線を測定し、N対P/Pをプロットする。
見掛けのBET(Brunauer,Emmett,Teller method for multi−layer gas absorption on a solid surface、S.Brunauer、P.H.Emmett、E.Teller;J.Am.Chem.Soc.60、309〜319頁(1938年)に開示)表面積は、Rフィット>0.9999を与えるBET式の直線部分を使用して、BET理論を使用して、N2吸着等温線の低いP/P領域から計算された。
細孔容積は、吸着されたNの密度が液体Nと同じでかつすべての細孔がこのP/Pにおいて凝縮されたNで充たされていると仮定して、凝縮が完結する等温線の水平領域における、相対圧力P/P値、通常P/P〜0.95、で吸着されたNの容積から計算された。
細孔径分布は、BJH(E.P.Barret、L.G.Joyner、P.P.Halenda、J.Am.Chem.Soc.、73、373〜380頁(1951年))理論を使用してN等温線の吸着アームから計算された。これは、蒸気圧の抑制に対する曲率に関係するケルビン式と、吸着されたN単分子層の厚さ対P/Pを記述しているハルゼー(Halsey)式であって、凝縮したNの容積対P/Pを特定の範囲の細孔径における細孔容積に変換するための式を使用する。
平均の円筒形細孔径Dは、サンプルとして同じ見掛けBET表面積Sa(m/g)と細孔容積Vp(cc/g)を有する円筒の直径であり、したがって、D(nm)=4000Vp/Saである。
比較例:
Hollie Reed等、「Porous Dielectrics and Air−Gaps Created by Sacrificial Placeholders」、International SEMATECH Ultra Low k Workshop(2002年6月6〜7日)は、次式のポリノルボルネンコポリマーを記載している
Figure 2006504855
(式中、R32は、アルキルまたはトリエトキシシリルである)。そのようなポリノルボルネンコポリマーの性質は、以下の表3および図1と2において示される。
Figure 2006504855
PNB1は、Si−ベースの基体に適用され焼成された。焼成されたフィルムは以下の表4における性質を有していた。
Figure 2006504855
PNB1の代わりにPNB2が使用された以外は先の手順が繰り返された。
上記のPBN1は、酸化物をベースとした基体に適用された。適用された材料は焼成され(150℃、250℃、350℃で、それぞれ1分で)、次いで、劣化された(425℃/1時間)。焼成されたフィルムは、以下の表5における性質を有していた。
Figure 2006504855
アセナフチレンとビニルピバレートとのコポリマーの調製
アセナフチレンとビニルピバレートとのコポリマーを含む熱劣化性ポリマーは次のようにして作られた。磁気攪拌機を備えた250ミリリットルフラスコへ、20gの工業規格品のアセナフチレン、3.1579g(0.0246モル)のビニルピバレート、0.5673g(2.464ミリモル)のジ−t−ブチルアゾジカルボキシレートおよび95ミリリットルのキシレンを添加した。混合物を室温で10分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、窒素下で6時間、140℃まで加熱された。溶液は室温まで冷却され、237ミリリットルのエタノール中に滴状添加された。混合物は攪拌しながら、室温でさらに20分間保持された。形成された沈殿物はろ過によって収集され真空下で乾燥された。得られたコポリマーの性質は、上記の表2においてコポリマー18として記載される。アセナフチレンおよびビニルピバレートのコポリマーを含むその他の熱劣化性ポリマーは、同様の方法で、上記表2において示されるように、使用されるコモノマーの割合、開始剤のタイプおよび使用される割合、および反応時間および温度を変えて調製された。
一つの層が表2のコポリマー1で作られ焼成された。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成した。表2のそれぞれのコポリマーに対して先の手順が繰り返された。
アセナフチレンとt−ブチルアクリレートとのコポリマーの調製
アセナフチレンとt−ブチルアクリレートとのコポリマーを含む熱劣化性ポリマーは次のようにして作られた。磁気攪拌機を備えた250ミリリットルフラスコへ、20gの工業規格品のアセナフチレン、2.5263g(0.01971モル)のt−ブチルアクリレート、0.3884g(2.365ミリモル)の2,2’−アゾビスイソブチロニトリルおよび92ミリリットルのキシレンを添加した。混合物を室温で10分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、窒素下で24時間、70℃まで加熱された。溶液は室温まで冷却され、230ミリリットルのエタノール中に滴状添加された。混合物は攪拌しながら、室温でさらに20分間保持された。形成された沈殿物はろ過によって収集され真空下で乾燥された。得られたコポリマーの性質は、上記の表2においてコポリマー2として記載される。アセナフチレンおよびt−ブチルアクリレートとのコポリマーを含むその他の熱劣化性ポリマーは、同様の方法で、上記表2において示されるように、使用されるコモノマーの割合、開始剤のタイプおよび使用される割合、および反応時間および温度を変えて調製された。
アセナフチレンと酢酸ビニルとのコポリマーの調製
アセナフチレンと酢酸ビニルとのコポリマーを含む熱劣化性ポリマーは次のようにして作られた。磁気攪拌機を備えた250ミリリットルフラスコへ、20gの工業規格品のアセナフチレン、1.6969g(0.01971モル)の酢酸ビニル、0.3884g(2.365ミリモル)の2,2’−アゾビスイソブチロニトリルおよび88ミリリットルのキシレンを添加した。混合物を室温で10分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、窒素下で24時間、70℃まで加熱された。溶液は室温まで冷却され、220ミリリットルのエタノール中に滴状添加された。混合物は攪拌しながら、室温でさらに20分間保持された。形成された沈殿物はろ過によって収集され真空下で乾燥された。得られたコポリマーの性質は、上記の表2においてコポリマー18として記載される。アセナフチレンおよび酢酸ビニルのコポリマーを含むその他の熱劣化性ポリマーは、同様の方法で、使用されるコモノマーの割合を変えて調製された。得られたコポリマーの性質は、上記の表2においてコポリマー19として記載される。
ポリアセナフチレンホモポリマーの調製
アセナフチレンのポリマーは次のようにして作られた。磁気攪拌機を備えた250ミリリットルフラスコへ、30gの工業規格品のアセナフチレン、0.3404g(1.478ミリモル)のジ−t−ブチルアゾジカルボキシレートおよび121ミリリットルのキシレンを添加した。混合物を室温で10分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、窒素下で6時間、140℃まで加熱された。溶液は室温まで冷却され、303ミリリットルのエタノール中に滴状添加された。混合物は攪拌しながら、室温でさらに20分間保持された。形成された沈殿物はろ過によって収集され真空下で乾燥された。得られたホモポリマーの性質は、以下の表6(DBADCは、ジ−t−ブチルアゾジカルボキシレートを表し、PDIは、多分散性指数(Mw/Mn)を表す)においてホモポリマー1として記載される。ポリアセナフチレンホモポリマーを含むその他の熱劣化性ポリマーは、同様の方法で、表6において示されるように(以下のAIBNは、2,2’−アゾビスイソブチロニトリルを表す)、開始剤のタイプおよび使用される割合および反応時間と温度を変えて調製された。
Figure 2006504855
ポリアセナフチレンホモポリマーの調製
磁気攪拌機を備えた2000mLフラスコへ、200gの工業規格品のアセナフチレン、0.4539g(1.917ミリモル)のジ−t−ブチルアゾジカルボキシレートおよび800mlのキシレンを添加した。混合物を室温で20分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、攪拌しながら窒素下で6時間、140℃まで加熱された。溶液は室温まで冷却され、2000mLのエタノール中に滴状添加された。混合物はオーバーヘッド攪拌機を使用して攪拌しながら、室温でさらに30分間保持された。形成された沈殿物はろ過によって収集された。沈殿物は、次いで2000mLのエタノール中に入れられ、混合物は、オーバーヘッド攪拌機を使用して攪拌しながら、室温で30分間保持された。形成された沈殿物はろ過によって収集された。洗浄処理がさらに2回繰り返された。形成された沈殿物はろ過によって収集され、一晩中フードにおいて空気乾燥された。空気乾燥された白色沈殿物は、次いで減圧下で50℃でさらに乾燥された。
ポリビニルノルボルネンの調製
磁気攪拌機を備えた500mLフラスコへ、50gの5−ビニル−2−ノルボルネン(純度95%、これは、純粋な5−ビニル−2−ノルボルネンの0.3952モルに相当する)、0.1298g(0.7903ミリモル)の2,2’−アゾビスイソブチロニトリルおよび201mlのキシレンを添加した。混合物を室温で20分間攪拌して均質な溶液を得た。反応溶液は、次いで、減圧で5分間脱気され、窒素でパージされた。この方法が3回繰り返された。反応混合物は、次いで、攪拌しながら窒素下で24時間、70℃まで加熱された。溶液は室温まで冷却され、500mLのエタノール中に滴状添加された。混合物はオーバーヘッド攪拌機を使用して攪拌しながら、室温でさらに30分間保持された。形成された沈殿物はろ過によって収集された。沈殿物は、次いで500mLのエタノール中に入れられ、混合物は、オーバーヘッド攪拌機を使用して攪拌しながら、室温で30分間保持された。形成された沈殿物はろ過によって収集された。洗浄処理がさらに1回繰り返された。形成された沈殿物はろ過によって収集され、一晩中フードにおいて空気乾燥された。空気乾燥された白色沈殿物は、次いで減圧下で50℃でさらに乾燥された。
1つの層が作られ焼成される。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成する。
ポリノルボルネン−コ−アセナフチレンの調製
ポリノルボルネン−コ−アセナフチレンは、次の、April D.Hennis、Jennifer D.Polley、Gregory S.Long、Ayusman Sen、Dmitry Yandulov、John Lipian、Geroge M.Benedikt、およびLarry F.Rhodes、Organometallics 2001、20、2802、に従って調製されてもよい。磁気攪拌機および窒素の入口と出口を備えた500mL三つ口フラスコへ、25.00g(0.1468モル)の5−フェニル−2−ノルボルネン、29.80gのアセナフチレンおよび274mlのジクロロメタンが添加される(混合物A)。混合物(A)を室温で攪拌して均質な溶液を得る。65mlのプラスチック容器に、0.0778g(0.2937ミリモル)の[(1,5−シクロオクタジエン)Pd(CH)(Cl)]、0.0770g(0.2937ミリモル)のPPh、0.2603g(0.2937ミリモル)のNa[3,5−(CHBおよび31mlのジクロロメタンが添加される(混合物B)。混合物(B)を、室温で振って均質溶液を得る。混合物(B)は、次いで、窒素下で混合物(A)に添加され、反応混合物は、24時間、激しく攪拌しながら窒素下で還流下に加熱される。溶液は、次いで、548mlのメタノール中で沈殿される。ポリマーがろ過によって収集され、減圧下で乾燥される。
1つの層が作られ焼成される。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成する。
ポリノルボルネン−コ−インデンの調製
ポリノルボルネン−コ−インデンは、次の、April D.Hennis、Jennifer D.Polley、Gregory S.Long、Ayusman Sen、Dmitry Yandulov、John Lipian、Geroge M.Benedikt、およびLarry F.Rhodes、Organometallics 2001、20、2802、に従って調製されてもよい。磁気攪拌機および窒素の入口と出口を備えた500mL三つ口フラスコへ、25.00g(0.1468モル)の5−フェニル−2−ノルボルネン、17.06g(0.1468モル)のインデンおよび210mlのジクロロメタンが添加される(混合物A)。混合物(A)を室温で攪拌して均質な溶液を得た。65mlのプラスチック容器に、0.0778g(0.2937ミリモル)の[(1,5−シクロオクタジエン)Pd(CH)(Cl)]、0.0770g(0.2937ミリモル)のPPh、0.2603g(0.2937ミリモル)のNa[3,5−(CHBおよび31mlのジクロロメタンが添加される(混合物B)。混合物(B)を、室温で振って均質溶液を得る。混合物(B)は、次いで、窒素下で混合物(A)に添加され、反応混合物は、24時間、激しく攪拌しながら窒素下で還流下に加熱される。溶液は、次いで、420mlのメタノール中で沈殿される。ポリマーがろ過によって収集され、減圧下で乾燥される。
1つの層が作られ焼成される。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成する。
ポリ(5−フェニル−2−ノルボルネン−コ−5−トリエトキシシリル−2−ノルボルネン−コ−アセナフチレン)の調製
ポリ(5−フェニル−2−ノルボルネン−コ−5−トリエトキシシリル−2−ノルボルネン−コ−アセナフチレン)は、次の、April D.Hennis、Jennifer D.Polley、Gregory S.Long、Ayusman Sen、Dmitry Yandulov、John Lipian、Geroge M.Benedikt、およびLarry F.Rhodes、Organometallics 2001、20、2802、に従って調製されてもよい。磁気攪拌機および窒素の入口と出口を備えた500mL三つ口フラスコへ、25.00g(0.1468モル)の5−フェニル−2−ノルボルネン、29.80g(純度75%、0.1468モルに相当する)のアセナフチレン、3.77g(0.01648モル)の5−トリエトキシシリル−2−ノルボルネンおよび293mlのジクロロメタンが添加される(混合物A)。混合物(A)を室温で攪拌して均質な溶液を得る。65mlのプラスチック容器に、0.0817g(0.3084ミリモル)の[(1,5−シクロオクタジエン)Pd(CH)(Cl)]、0.0809g(0.3084ミリモル)のPPh、0.2733g(0.3084ミリモル)のNa[3,5−(CHBおよび33mlのジクロロメタンが添加される(混合物B)。混合物(B)を、室温で振って均質溶液を得る。混合物(B)は、次いで、窒素下で混合物(A)に添加され、反応混合物は、24時間、激しく攪拌しながら窒素下で還流下に加熱される。溶液は、次いで、586mlのメタノール中で沈殿される。ポリマーがろ過によって収集され、減圧下で乾燥される。
1つの層が作られ焼成される。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成する。
ポリ(5−フェニル−2−ノルボルネン−コ−5−トリエトキシシリル−2−ノルボルネン−コ−インデン)の調製
ポリ(5−フェニル−2−ノルボルネン−コ−5−トリエトキシシリル−2−ノルボルネン−コ−インデン)は、次の、April D.Hennis、Jennifer D.Polley、Gregory S.Long、Ayusman Sen、Dmitry Yandulov、John Lipian、Geroge M.Benedikt、およびLarry F.Rhodes、Organometallics 2001、20、2802、に従って調製されてもよい。磁気攪拌機および窒素の入口と出口を備えた500mL三つ口フラスコへ、25.00g(0.1468モル)の5−フェニル−2−ノルボルネン、17.06g(0.1468モル)のインデン、3.77g(0.01648モル)の5−トリエトキシシリル−2−ノルボルネンおよび229mlのジクロロメタンが添加される(混合物A)。混合物(A)を室温で攪拌して均質な溶液を得る。65mlのプラスチック容器に、0.0817g(0.3084ミリモル)の[(1,5−シクロオクタジエン)Pd(CH)(Cl)]、0.0809g(0.3084ミリモル)のPPh、0.2733g(0.3084ミリモル)のNa[3,5−(CHBおよび33mlのジクロロメタンが添加される(混合物B)。混合物(B)を、室温で振って均質溶液を得る。混合物(B)は、次いで、窒素下で混合物(A)に添加され、反応混合物は、24時間、激しく攪拌しながら窒素下で還流下に加熱される。溶液は、次いで、458mlのメタノール中で沈殿される。ポリマーがろ過によって収集され、減圧下で乾燥される。
1つの層が作られ焼成される。集積スキームにおける適当な時間において、焼成された層は分解され、分解した層は揮発してガス層を形成する。
上記の実施例5で作られたPAN1およびPAN2は、以下の表7および8(ここで、ANは、アセナフチレンを表し、PDIは、多分散性指数を表す)における性質を有する。
Figure 2006504855
この組成物は、2重量%のヒドリドポリカーボシランの接着促進剤を有していた。
Figure 2006504855
上記表7のPAN1が、Si−ベースの基体に適用され焼成された。焼成されたフィルムは以下の表9における性質を有していた。
Figure 2006504855
PAN1に代わってPAN2が使用された以外は先の手順が繰り返された。
上記表7のPAN1が、酸化物ベースの基体に適用された。適用された材料は、焼成され(100℃、200℃、350℃で、それぞれ1分で)、次いで劣化された(425℃/1時間)。焼成されたフィルムは以下の表10における性質を有していた。
Figure 2006504855
PAN1に代わってPAN2が使用された以外は先の手順が繰り返された。
上記表7のPAN1が、次のようにして接着促進剤と一緒に組成された。磁気攪拌機を持つ500mlフラスコへ、50.00gのPAN1、3.35gのヒドリドポリカーボシランおよび214.39gのシクロヘキサノンが添加された。混合物は、一晩、室温で攪拌された。得られた均質溶液は、次いで、0.45μmのPTFEフィルターで1回、0.10μmのPTFEフィルターで2回ろ過された。組成物は、シリコンベースの基体へ適用された。適用された材料は焼成され(100℃、200℃、350℃で、それぞれ1分で)、次いで、劣化された(425℃/1時間)。焼成されたフィルムは、以下の表11と12における性質を有していた。
Figure 2006504855
Figure 2006504855
ポリアセナフチレンの熱安定性を改善するために、300℃硬化を行った。磁気攪拌機を持つ500mLフラスコへ、50.00gのポリアセナフチレン、3.35gのヒドリドポリカーボシランおよび214.39gのシクロヘキサノンが添加された。混合物は、一晩、室温に置かれた。得られた均質溶液は、次いで、0.45μmのPTFEフィルターで1回、0.10μmのPTFEフィルターで2回ろ過された。組成物は、Siベースの基体へ適用された。適用された材料は焼成され(150℃、250℃および300℃で、それぞれ1分で)、次いで、硬化された(300℃、1時間)。フィルムは、以下の表13における性質を有していた。
Figure 2006504855
ポリアセナフチレンの熱安定性を改善するために、次のような化学的モノマー変性を行った。磁気攪拌機を持つ50mLフラスコへ、以下の表14のポリアセナフチレン2.40g、0.24gのテトラアセトキシシランとメチルトリアセトキシシランの加水分解オリゴマーおよび17.17gのシクロヘキサノンが添加された。混合物は、室温で2時間攪拌された。得られた均質溶液は、次いで、0.45μmのPTFEフィルターで1回、0.10μmのPTFEフィルターで2回ろ過された。熱的性質は、以下の表15および図3の通りである。
Figure 2006504855
Figure 2006504855
ポリアセナフチレンの熱安定性を改善するために、次のような化学的モノマー変性を行った。磁気攪拌機を持つ50mLフラスコへ、上記の表14のポリアセナフチレン2.600g、0.234gのテトラアセトキシシラン、0.026gのヒドリドポリカーボシランおよび17.06gのシクロヘキサノンが添加された。混合物は、室温で2時間攪拌された。得られた均質溶液は、次いで、0.45μmのPTFEフィルターで1回、0.10μmのPTFEフィルターで2回ろ過された。
Figure 2006504855
次の集積スキームが本発明で使用されてもよい。図4において示されるように、以下の段階は、銅二重ダマスク模様(copper dual damascene)(バイア−ファースト)集積プロセスフローの実施であり、トレンチレベルだけで本発明の使用を例示するものである。スピニングおよび化学蒸着を含むがこれらに限定されないあらゆる知られている蒸着または適用方法が以下において使用されてもよい。湿式または乾式ストリッピングを含むがそれらに限定されないあらゆる知られている除去方法が以下において使用されてもよい。ハネウェル社のタンタルターゲットまたは、同一出願人の米国特許第6348139号または第6331233号(本明細書に参照によりその全体において組み込まれる)によって教示されるタンタルターゲットで作られることを含むがそれに限定されないあらゆる知られているバリヤー金属が以下において使用されてもよい。ハネウェル社のDUO(商標)材料または、同一出願人の米国特許第6268457号または第6365765号(本明細書に参照によりその全体において組み込まれる)によって教示される材料を含むがそれらに限定されないあらゆる知られている反射防止被膜が以下において使用されてもよい。焼成または架橋または反応性ガスなどの熱加工処理を含むがそれらに限定されない、知られている加工処理が以下において使用されてもよい。
図4Aを参照すると、SiNおよび/またはSiCなどのバリヤー層14は、銅層12に適用された。バイア層間誘電体層16は、バリヤー層14の上に蒸着された。エッチング停止層18は、バイア層間誘電体層16に適用された。熱劣化性ポリマー20は、エッチング停止層18に適用され、次いで加工された。図4においては図示されていないが、接着促進剤層は、必要であれば、熱劣化性ポリマー20の上に蒸着されてもよい。ハードマスク22は、熱劣化性ポリマー20の上に蒸着された。反射防止被膜24は、ハードマスク22に適用され、次いで焼成された。フォトレジスト26は、次いで、反射防止被膜24に適用され、次いで焼成された。図示されていないが、バイアリソグラフィーが、次いで行われ、フォトレジスト26が現像された。
図4Bを参照すると、反射防止被膜24、ハードマスク22、熱劣化性ポリマー20、エッチング停止層18およびバイアレベル層間誘電体層16のバイアプラズマエッチング28が、次いで行われた。
図4Cを参照すると、フォトレジスト26は剥がされて、反射防止被膜24が選択的に除去された。次いで、クリーニングが行われた。
図4Dを参照すると、ギャップ充填が行われ、反射防止被膜24と同じまたは異なることのできる反射防止材料30が適用された。フォトレジスト26と同じまたは異なることのできるフォトレジスト32が、反射防止被膜30に適用され、次いで焼成された。
図4Eを参照すると、図示されていないが、トレンチリソグラフィーが行われた。フォトレジスト32が次いで現像された。反射防止材料30、ハードマスク22および熱劣化性ポリマー20のトレンチプラズマエッチング34が次いで行われた。
図4Fを参照すると、フォトレジスト32が剥がされて、反射防止材料30が選択的に除去された。銅層12に対して開放するためにバリヤー層14のプラズマエッチング36が行われた。次いで、クリーニングが行われた。
図4Gを参照すると、バリヤー層38と銅シード層40が、PVD(物理蒸着)、CVD(化学蒸着)、および/またはALD(原子層蒸着)を使用して蒸着された。銅42が、次いでメッキされた。図4には図示されていないが、CMPまたはその他の平坦化加工が、先端部の銅およびバリヤーを除去し、平坦化し、ハードマスク22で停止させるために行われた。
図4Hを参照すると、熱劣化性ポリマー20が、次いで、実質的に劣化され、実質的に劣化された熱劣化性ポリマーが、次いで、構造の外に揮発され、ガスギャップ44が形成された。バリヤー層14と同じまたは異なることのできるバリヤー層46は、銅層nの集積化を完結するために蒸着された。
図4においては図示されているが、エッチング停止層18とその蒸着段階は、熱劣化性ポリマー20と層間誘電体層16の間のエッチング選択性が集積化要件に合致することができる場合は省略されてもよい。図4においては図示されていないが、接着促進剤層および/または、反応性イオンエッチングまたは非反応性ガスプラズマ方法などの表面処理段階は、1つの層の蒸着後であって、必要な時の次の層の蒸着前に適用されてもよい。
図4によって図示される集積化方法におけるハードマスク22に関しては、それは劣化による熱劣化性ポリマー20の流出物に浸透可能であり、平坦化(図4G)および熱劣化(図4H)処理に耐えるのに十分なほど機械的に強い。ハードマスクの例としては、有機材料(ハネウェルGX−3(商標)材料、ポリイミド(1)、SiLK(商標)を含むがこれらに限定されない)、無機材料(SiCN、SiON、SiO (1)、FSG、SiN(1)、SiOCN、炭化珪素を含むがこれらに限定されない)、または無機−有機ハイブリッド材料(ハネウェルHOSP(商標)材料、ハネウェルHOSP BESt(商標)材料、スピン−オンからのハネウェルナノグラス(Nanoglass)(商標)材料、およびCVDからのコーラル(Coral)(商標)、ブラックダイアモンド(Black Diamond)(商標)、オーロラ(Aurora)(商標)、オリオン(Orion)(商標)を含むがこれらに限定されない)であって、熱劣化性ポリマーの劣化によるガス抜けを促進するためにある程度の空隙率を持つかまたは持たない材料が挙げられる。さらに、層間誘電体層は上に列挙の材料から選択されてもよい。
(1)Hollie A.Reed等、Presentation、「International SEMATECH Ultra Low k Workshop」、2002年6月6〜7日参照。
以下は、本発明で使用されてもよい別の集積スキームを記載するものである。図5において示されるように、以下の段階は、銅二重ダマスク模様(バイア−ファースト)集積プロセスフローの実施であり、トレンチレベルのみで本発明の使用を例示するものである。スピニングおよび化学蒸着(CVD)を含むがこれらに限定されないあらゆる知られている蒸着または適用方法は、以下において使用されてもよい。湿式または乾式ストリッピングを含むがこれに限定されないあらゆる知られている除去方法は、以下において使用されてもよい。ハネウェル社のタンタルターゲットまたは、同一出願人の米国特許第6,348,139号または第6,331,233号(本明細書に参照によりそれらの全体において組み込まれる)によって教示されるタンタルターゲットで作られることを含むがそれに限定されないあらゆる知られているバリヤー金属が以下において使用されてもよい。ハネウェル社のDUO(商標)材料または、同一出願人の米国特許第6,268,457号または第6,365,765号(本明細書に参照によりその全体において組み込まれる)によって教示される材料を含むがそれらに限定されないあらゆる知られている反射防止被膜が以下において使用されてもよい。
図5Aを参照すると、SiNおよび/またはSiCなどのバリヤー層14は、銅層12に適用された。バイアレベル層間誘電体層(ILD)16は、バリヤー層14の上に蒸着された。エッチング停止層18はバイアレベル層間誘電体層16に適用された。熱劣化性ポリマー20は、エッチング停止層18に適用され、次いで、熱加工処理された。先の手順は、図4Aのそれに類似するものであった。図5においては図示されていないが、接着促進剤層は、必要であれば、熱劣化性ポリマー20の上に蒸着されてもよい。図4Aとは違って、SiOなどのキャップ層48は、熱劣化性ポリマー20の上に蒸着された。反射防止被膜(ARC)50は、キャップ層48に適用され、次いで焼成された。フォトレジスト52は、次いで、反射防止被膜50に適用され、次いで焼成された。図示されてはいないが、バイアリソグラフィーが、次いで行われ、フォトレジスト52が現像された。
図5Bを参照すると、反射防止皮膜50、キャップ48、熱劣化性ポリマー20、エッチング停止層18およびバイアレベル層間誘電体層16のバイアプラズマエッチング54が、次いで行われた。
図5Cを参照すると、フォトレジスト52は剥がされて、反射防止被膜50が選択的に除去された。クリーニングが、次いで、行われた。
図5Dを参照すると、ギャップ充填が行われ、反射防止材料50と同じまたは異なることのできる反射防止材料56が適用された。フォトレジスト52と同じまたは異なることのできるフォトレジスト58が、次いで、反射防止被膜56に適用され、次いで焼成された。
図5Eを参照すると、図示されていないが、トレンチリソグラフィーが行われた。フォトレジスト58は、次いで、現像された。反射防止材料56、キャップ48、および熱劣化性ポリマー20のトレンチプラズマエッチング60が、次いで、行われた。
図5Fを参照すると、フォトレジスト58は剥がされて、反射防止材料56が選択的に除去された。銅層12を開放するためにバリヤー層14のプラズマエッチング62が行われた。クリーニングが、次いで行われた。
図5Gを参照すると、バリヤー層64と銅シード層66が、PVD(物理蒸着)、CVD(化学蒸着)および/またはALD(原子層蒸着)を使用して蒸着された。銅68が、次いでメッキされた。図5においては図示されていないが、CMPまたはその他の平坦化加工が、銅および先端部のバリヤーならびにキャップ層48を除去し、熱劣化性ポリマー層20で停止するために行われた。
熱劣化性ポリマーがさらなる処理加工に耐えることができる場合は、以下の任意のハードマスクおよびキャップ層は必要とはされない。図5Hを参照すると、任意のハードマスク70が、熱劣化性ポリマー20の上に蒸着された。任意のハードマスク70に対する代替物としては、図示されていないが、任意のキャップ層が熱劣化性ポリマー20の上に蒸着されてもよい。熱劣化性ポリマー20は、次いで、実質的に劣化され、構造の外に揮発され、ガスギャップ72が発生した。バリヤー層14と同じまたは異なることのできるバリヤー層74が、銅層nの集積化を完結するために蒸着された。
図5において図示されているが、エッチング停止層18とその蒸着段階は、熱劣化性ポリマー20と層間誘電体層16の間のエッチング選択性が集積化要件に合致することができる場合は省略されてもよい。図4においては図示されていないが、接着促進剤層および/または、RIEまたは非反応性ガスプラズマ方法などの表面処理段階は、1つの層の蒸着後であって、必要な時の次の層の蒸着前に適用されてもよい。
図5においては図示されているが、キャップ層48とその蒸着段階は、直接の平坦化を熱劣化性ポリマー20で行うことができる場合は、省略することができる。図5で図示される集積化プロセスフローにおけるハードマスク70は、図4における同じ材料22を使用することができる。
別の集積化スキームにおいては、熱劣化性ポリマー層は、バイアおよびトレンチレベルの両方で形成され、次いで、実質的に劣化されて、バイアおよびトレンチレベルの両方でガス層を生成するために構造の外に揮発された。これらのガス層は、同じまたは異なる熱劣化性ポリマーで形成されてもよい。二重ダマスク模様プロセスフローは、実施例17および18に従って使用された。実施例17および18において記載されている標準のバイアレベル層間誘電体層16を蒸着する代わりに、熱劣化性ポリマー16がバイアレベルで蒸着された。これらの実施例の集積化プロセスフローに続いて、第2の熱劣化性ポリマー20がトレンチレベルで蒸着された。実施例17および18において例示されるさらなる加工処理後に、熱劣化性ポリマー層16と20の両方が劣化され、バイアおよびトレンチレベルの両方でガス層を残しながら構造の外に揮発された。エッチング停止層は、バイアおよびトレンチレベル層間誘電体層16および20のエッチング/プロセス選択性に基づいて、使用されてもよく使用されなくてもよい。
比較例におけるポリノルボルネンコポリマー1(PNB 1)に対するITGAプロットを示す図である。 比較例におけるポリノルボルネンコポリマー2(PNB 2)に対するITGAプロットを示す図である。 実施例15のアセナフチレンホモポリマーに対するITGAプロットを示す図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。 本発明を使用する別の集積スキームを例示する図である。

Claims (26)

  1. アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択されるガス層形成材料。
  2. 300℃で1時間保持後に2%未満の重量損失を有する請求項1に記載のガス層形成材料。
  3. 前記材料が、アセナフチレンホモポリマーおよびアセナフチレンコポリマーから成る群から選択される請求項2に記載のガス層形成材料。
  4. 接着促進剤をさらに含む請求項1に記載のガス層形成材料。
  5. 次式
    Figure 2006504855
    (式中、R10、R11、R12およびR13は、同じまたは異なり、水素、アルキル、アリール、アルコキシ、アリールオキシ、アセトキシ、塩素またはそれらの組合せから選択され、R10、R11、R12およびR13の少なくとも1つは、アルコキシ、アリールオキシ、アセトキシまたは塩素である)のシラン、オルガノシロキサン、フェニルシロキサンポリマー、メチルフェニルシロキサンポリマー、シロキサンポリマー、水素シルセスキオキサンまたはメチルシルセスキオキサンをさらに含む請求項1に記載のガス層形成材料。
  6. 請求項1に記載の前記ガス層形成材料を含むスピン蒸着可能な材料。
  7. 請求項1に記載の前記ガス層形成材料を含む化学蒸着前駆体。
  8. 請求項1に記載の前記ガス層形成材料を含むフィルム。
  9. その上に請求項8に記載の前記フィルムを有する基体。
  10. アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択されるガス層形成材料を使用する段階を含むガス層形成方法。
  11. 前記材料が、300℃で1時間保持後に2%未満の重量損失を有する請求項10に記載の方法。
  12. 前記材料が、アセナフチレンホモポリマーおよびアセナフチレンコポリマーから成る群から選択される請求項10に記載の方法。
  13. 前記材料が、接着促進剤をさらに含む請求項10に記載の方法。
  14. 前記材料が、次式
    Figure 2006504855
    (式中、R10、R11、R12およびR13は、同じまたは異なり、水素、アルキル、アリール、アルコキシ、アリールオキシ、アセトキシ、塩素またはそれらの組合せから選択され、R10、R11、R12およびR13の少なくとも1つは、アルコキシ、アリールオキシ、アセトキシまたは塩素である)のシラン、オルガノシロキサン、フェニルシロキサンポリマー、メチルフェニルシロキサンポリマー、シロキサンポリマー、水素シルセスキオキサンまたはメチルシルセスキオキサンをさらに含む請求項10に記載の方法。
  15. (a)層間誘電体層に、(i)約200℃より高いガラス転移温度、(ii)300℃で1時間保持後に2%未満の重量損失、および(iii)約350℃より高い分解温度を有するポリマーを導入する段階、
    (b)前記ポリマーを約350℃より高い温度に加熱する段階、および
    (c)前記加熱されたポリマーを除去する段階
    を含む方法。
  16. 前記ポリマーが、アセナフチレンホモポリマーおよびアセナフチレンコポリマーから成る群から選択される請求項15に記載の方法。
  17. 前記ポリマーが、接着促進剤をさらに含む請求項15に記載の方法。
  18. 前記ポリマーが、次式
    Figure 2006504855
    (式中、R10、R11、R12およびR13は、同じまたは異なり、水素、アルキル、アリール、アルコキシ、アリールオキシ、アセトキシ、塩素またはそれらの組合せから選択され、R10、R11、R12およびR13の少なくとも1つは、アルコキシ、アリールオキシ、アセトキシまたは塩素である)のシラン、オルガノシロキサン、フェニルシロキサンポリマー、メチルフェニルシロキサンポリマー、シロキサンポリマー、水素シルセスキオキサンまたはメチルシルセスキオキサンをさらに含む請求項15に記載の方法。
  19. 前記段階(b)の前に、前記ポリマー層を、電子ビーム放射、イオンビーム放射、マイクロ波放射、紫外線放射、赤外線放射またはX線に暴露して処理する段階をさらに含む請求項15に記載の方法。
  20. (a)(i)約200℃より高いガラス転移温度、(ii)300℃で1時間保持後に2%未満の重量損失、および(iii)約350℃より高い分解温度を有するポリマーの層を形成すること、
    (b)前記ポリマー層を分解すること、および
    (c)前記分解されたポリマー層を揮発させてガス層を形成すること
    によって形成されるガス層を含むマイクロチップ。
  21. 前記ポリマー層が基体上に形成される請求項20に記載のマイクロチップ。
  22. (a)基体、
    (b)少なくとも200℃のガラス転移温度を有し、劣化および揮発させることのできる熱劣化性ポリマー層、
    (a)前記ポリマー層に隣接する多孔性キャッピング層、および
    (d)前記ポリマー層の端部に隣接する金属バリヤー層
    を含むマイクロ電子装置。
  23. 前記熱劣化性ポリマーが、アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択される請求項22に記載のマイクロ電子装置。
  24. (a)少なくとも200℃のガラス転移温度を有する熱劣化性ポリマーを基体上に適用する段階、
    (b)前記熱劣化性ポリマー層上に多孔性キャッピング層を適用する段階、
    (c)前記熱劣化性ポリマー層と多孔性キャッピング層をパターン化する段階、
    (d)金属バリヤー層を前記パターン化された層に適用する段階、
    (e)前記ポリマーを熱劣化させる段階、および
    (f)前記劣化されたポリマーを揮発させてガス層を形成する段階
    を含む、マイクロ電子装置を形成する方法。
  25. 前記熱劣化性ポリマーが、アセナフチレンホモポリマー、アセナフチレンコポリマー、ノルボルネンとアセナフチレンとのコポリマー、ポリノルボルネン誘導体、ポリノルボルネンとポリアセナフチレンとのブレンド、ポリ(アリーレンエーテル)、ポリアミド、B−ステージ多官能性アクリレート/メタクリレート、架橋スチレンジビニルベンゼンポリマー、およびスチレンとジビニルベンゼンとマレイミドまたはビス−マレイミドとのコポリマーから成る群から選択される請求項24に記載の方法。
  26. 前記段階(e)の前に、前記熱劣化性ポリマーを、電子ビーム放射、イオンビーム放射、マイクロ波放射、紫外線放射、赤外線放射またはX線に暴露して処理する段階をさらに含む請求項24に記載の方法。
JP2004550397A 2002-11-02 2003-10-31 ガス層形成材料 Withdrawn JP2006504855A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/286,236 US20040084774A1 (en) 2002-11-02 2002-11-02 Gas layer formation materials
PCT/US2003/034816 WO2004041972A2 (en) 2002-11-02 2003-10-31 Gas layer formation materials

Publications (1)

Publication Number Publication Date
JP2006504855A true JP2006504855A (ja) 2006-02-09

Family

ID=32175388

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004550397A Withdrawn JP2006504855A (ja) 2002-11-02 2003-10-31 ガス層形成材料

Country Status (8)

Country Link
US (1) US20040084774A1 (ja)
EP (1) EP1570029A2 (ja)
JP (1) JP2006504855A (ja)
KR (1) KR20050084638A (ja)
CN (1) CN1735945A (ja)
AU (1) AU2003295370A1 (ja)
TW (1) TW200420659A (ja)
WO (1) WO2004041972A2 (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003001251A1 (en) * 2001-06-25 2003-01-03 Massachusetts Institute Of Technology Air gaps for optical applications
EP1493183B1 (en) 2002-04-02 2012-12-05 Dow Global Technologies LLC Process for making air gap containing semiconducting devices and resulting semiconducting device
US20060020068A1 (en) * 2004-07-07 2006-01-26 Edmund Elce Photosensitive compositions based on polycyclic polymers for low stress, high temperature films
US6774031B2 (en) * 2002-12-17 2004-08-10 Texas Instruments Incorporated Method of forming dual-damascene structure
WO2004087777A2 (en) * 2003-03-28 2004-10-14 Carnegie Mellon University Degradable polymers
US20040222527A1 (en) * 2003-05-06 2004-11-11 Dostalik William W. Dual damascene pattern liner
TW200523298A (en) * 2003-08-04 2005-07-16 Honeywell Int Inc Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US20050154105A1 (en) * 2004-01-09 2005-07-14 Summers John D. Compositions with polymers for advanced materials
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7557035B1 (en) 2004-04-06 2009-07-07 Advanced Micro Devices, Inc. Method of forming semiconductor devices by microwave curing of low-k dielectric films
JP2008502142A (ja) * 2004-06-04 2008-01-24 インターナショナル・ビジネス・マシーンズ・コーポレーション 相互接続構造の製造方法
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
ATE414118T1 (de) * 2004-07-07 2008-11-15 Promerus Llc Lichtempfindliche dielektrische harzzusammensetzungen und ihre verwendungen
JP2006152063A (ja) * 2004-11-26 2006-06-15 Jsr Corp 新規ポリカルボシランおよびその製造方法、膜形成用組成物、ならびに膜およびその形成方法
US7217648B2 (en) * 2004-12-22 2007-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Post-ESL porogen burn-out for copper ELK integration
US7452793B2 (en) * 2005-03-30 2008-11-18 Tokyo Electron Limited Wafer curvature estimation, monitoring, and compensation
EP1889287A1 (en) * 2005-06-09 2008-02-20 Axcelis Technologies, Inc. Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
KR100861176B1 (ko) * 2006-01-02 2008-09-30 주식회사 하이닉스반도체 무기계 하드마스크용 조성물 및 이를 이용한 반도체 소자의 제조방법
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
DE102006029572A1 (de) * 2006-06-22 2007-12-27 Siemens Ag Verfahren zum Erzeugen eines Bauteils mit einer nanostrukturierten Beschichtung sowie Verfahren zur Herstellung eines Granulats beziehungsweise einer Polymerfolie, geeignet für das Verfahren zum Beschichten
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8865797B2 (en) 2007-05-23 2014-10-21 Carnegie Mellon University Hybrid particle composite structures with reduced scattering
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9644042B2 (en) 2010-12-17 2017-05-09 Carnegie Mellon University Electrochemically mediated atom transfer radical polymerization
CN103238206A (zh) * 2010-12-20 2013-08-07 应用材料公司 原位低介电常数加盖以改良整合损坏抗性
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2013028756A1 (en) 2011-08-22 2013-02-28 Carnegie Mellon University Atom transfer radical polymerization under biologically compatible conditions
US9960110B2 (en) 2011-12-30 2018-05-01 Intel Corporation Self-enclosed asymmetric interconnect structures
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
CN103050439B (zh) * 2012-12-19 2017-10-10 上海华虹宏力半导体制造有限公司 互连线结构及互连线结构的形成方法
CN104124156B (zh) * 2013-04-27 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
JP6081879B2 (ja) * 2013-07-05 2017-02-15 東京エレクトロン株式会社 塗布膜の形成方法、プログラム及びコンピュータ記憶媒体
US10435555B2 (en) * 2014-05-29 2019-10-08 Az Electronic Materials (Luxembourg) S.A.R.L Void forming composition, semiconductor device provided with voids formed using composition, and method for manufacturing semiconductor device using composition
TW201610204A (zh) 2014-07-26 2016-03-16 應用材料股份有限公司 矽碳氮氧化物的低溫分子層沉積
US9982070B2 (en) 2015-01-12 2018-05-29 Carnegie Mellon University Aqueous ATRP in the presence of an activator regenerator
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN107240573B (zh) * 2016-03-28 2020-06-09 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法和电子装置
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
WO2018132582A1 (en) 2017-01-12 2018-07-19 Carnegie Mellon University Surfactant assisted formation of a catalyst complex for emulsion atom transfer radical polymerization processes
KR102379254B1 (ko) * 2017-04-28 2022-03-28 도오꾜오까고오교 가부시끼가이샤 접착제 조성물, 접착층이 형성된 지지체, 접착 필름, 적층체 및 그 제조 방법, 그리고 전자 부품의 제조 방법
US10170308B1 (en) * 2017-10-11 2019-01-01 International Business Machines Corporation Fabricating semiconductor devices by cross-linking and removing portions of deposited HSQ
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
CN111276456B (zh) * 2020-02-18 2020-12-04 合肥晶合集成电路有限公司 半导体器件及其制造方法
CN113320245A (zh) * 2020-02-28 2021-08-31 鞍山小巨人生物科技有限公司 一种高频高速覆铜板用新型聚合物树脂

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2204181C2 (ru) * 1997-01-21 2003-05-10 Джорджиэ Тек Рисеч Копэрейшн Полупроводниковое устройство (варианты) и способ формирования воздушных зазоров внутри структуры (варианты)
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
WO2000051177A1 (en) * 1999-02-26 2000-08-31 Advanced Micro Devices, Inc. Integrated circuit device with air dielectric
US6761975B1 (en) * 1999-12-23 2004-07-13 Honeywell International Inc. Polycarbosilane adhesion promoters for low dielectric constant polymeric materials
CN1447981B (zh) * 2000-08-21 2013-08-07 陶氏环球技术公司 微电子装置制造中用于有机聚合物电介质的硬面层的有机硅酸盐树脂
TWI226103B (en) * 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
TWI227043B (en) * 2000-09-01 2005-01-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US20030218253A1 (en) * 2001-12-13 2003-11-27 Avanzino Steven C. Process for formation of a wiring network using a porous interlevel dielectric and related structures
US20030219968A1 (en) * 2001-12-13 2003-11-27 Ercan Adem Sacrificial inlay process for improved integration of porous interlevel dielectrics

Also Published As

Publication number Publication date
AU2003295370A1 (en) 2004-06-07
AU2003295370A8 (en) 2004-06-07
EP1570029A2 (en) 2005-09-07
KR20050084638A (ko) 2005-08-26
US20040084774A1 (en) 2004-05-06
TW200420659A (en) 2004-10-16
CN1735945A (zh) 2006-02-15
WO2004041972A2 (en) 2004-05-21
WO2004041972A3 (en) 2004-07-15

Similar Documents

Publication Publication Date Title
JP2006504855A (ja) ガス層形成材料
JP4758949B2 (ja) 多孔性有機ポリシリカ誘電体形成用の組成物
US7141188B2 (en) Organic compositions
US6740685B2 (en) Organic compositions
US20020123240A1 (en) Electronic device manufacture
EP1891146B1 (en) Organo functionalized silane monomers and siloxane polymers of the same
KR20110014540A (ko) 전자 디바이스 제조
KR100819226B1 (ko) 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품
JP2006500769A (ja) 低k材料用の中間層接着促進剤
WO2000041230A2 (en) Electron beam treatment of siloxane resins
JP2005023075A (ja) 多官能性環状シロキサン化合物、この化合物から製造されたシロキサン系重合体及びこの重合体を用いた絶縁膜の製造方法
EP1787319A1 (en) Novel polyorganosiloxane dielectric materials
JP2012138503A (ja) 多孔質絶縁膜及びその製造方法
US20050113472A1 (en) Porous materials
WO1998047943A1 (en) Stable inorganic polymers
JP4588304B2 (ja) コーティング組成物、およびそれを用いて製造した低誘電シリカ質材料
JP2005516382A (ja) 有機組成物
US7060204B2 (en) Organic compositions
JP2005184011A (ja) 改善された機械的性質を有する絶縁膜組成
US7148263B2 (en) Hybrid inorganic/organic low k dielectric films with improved mechanical strength
KR20050016505A (ko) 유기실록산
EP1535290A1 (en) Nanoporous materials and methods of formation thereof

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070109