KR20040054798A - 포토레지스트 상에 이미지를 직접 기록하는 동안포토레지스트의 안정성을 연장하는 방법 - Google Patents

포토레지스트 상에 이미지를 직접 기록하는 동안포토레지스트의 안정성을 연장하는 방법 Download PDF

Info

Publication number
KR20040054798A
KR20040054798A KR10-2004-7007822A KR20047007822A KR20040054798A KR 20040054798 A KR20040054798 A KR 20040054798A KR 20047007822 A KR20047007822 A KR 20047007822A KR 20040054798 A KR20040054798 A KR 20040054798A
Authority
KR
South Korea
Prior art keywords
photoresist
photomask
chemically amplified
topcoat
producing
Prior art date
Application number
KR10-2004-7007822A
Other languages
English (en)
Inventor
멜빈 워렌 몽고메리
세실리아 아네트 몽고메리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040054798A publication Critical patent/KR20040054798A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/092Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by backside coating or layers, by lubricating-slip layers or means, by oxygen barrier layers or by stripping-release layers or means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/093Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antistatic means, e.g. for charge depletion
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/146Laser beam

Abstract

포토마스크의 제조에서, 화학 증폭형 포토레지스트의 환경 민감성은 포토레지스트를, 투과성 보호 재료의 얇은 코팅(상부코팅)으로 오버코팅함으로써 제거되거나 적어도 실질적으로 감소된다. 포토마스크 패턴의 직접 기록에 요구되는 장기간, 일반적으로 약 20시간 동안 개선된 안정성을 제공하기 위해, 보호 상부코팅 재료는 프로세스의 다른 가변적 요건에 따라 가능한 한 pH 상태가 중성이도록 pH 조절된다. 예를 들어, 약 5 내지 약 8 범위로 조절된 pH가 특히 바람직하다. 보호 상부코팅이 pH 조절될 때 직접 기록 중에 화학 증폭형 포토레지스트의 안정성이 보다 양호해질 뿐만 아니라, 표면 상에 pH 조절된 상부코팅을 갖는 포토레지스트 코팅된 기판은 악영향 없이 이미징 전에 장기간 저장될 수 있다.

Description

포토레지스트 상에 이미지를 직접 기록하는 동안 포토레지스트의 안정성을 연장하는 방법 {METHOD OF EXTENDING THE STABILITY OF A PHOTORESIST DURING DIRECT WRITING OF AN IMAGE UPON THE PHOTORESIST}
반도체 소자 구조물의 제조와 같은 미세 전자부품을 형성하기 위한 마이크로리소그래피 프로세스(microlithographic process)에 포토레지스트 복합물(photoresist composition)이 사용된다. 미세한 전자 소자 구조물 패턴들은 통상적으로 반도체 기판상의 직접 기록 프로세스에 따르기 보다는 반도체 기판 위에 놓이는 패턴화된 마스크 층으로부터 패턴을 전사시킴으로써 형성되는데, 그 이유는 패턴화된 마스크 층을 통한 블랭킷 프로세싱(blanket processing)에 의해 시간 측면에서 경제성이 달성되기 때문이다. 반도체 소자의 프로세싱과 관련하여, 패턴화된 마스크 층은 패턴화된 포토레지스트 층 또는 패턴화된 "하드" 마스크 층(통상 무기 재료 또는 고온 유기 재료)일 수 있는데, 이는 패턴화될 반도체 소자 구조물의 표면에 남게 된다. 패턴화된 마스크 층은 통상적으로 포토마스크 또는 레티클이라 종종 지칭되는 다른 마스크를 사용하여 생성된다. 레티클은 통상적으로 유리 또는 석영 플레이트 상에 증착되는(예를들어, 크롬 함유, 몰리브덴 함유, 또는 텅스텐 함유 재료와 같은)금속 함유 재료로 된 박층이다. 레티클은 반도체 구조물 위에 놓이는 마스크 층에 재생성될 개별 소자 구조물 패턴의 "하드 카피(hard copy)"를 포함하도록 패턴화된다.
레티클은 패턴을 레티클 상에 기록하는 방법에 따라 다수의 상이한 기술에 의해 생성된다. 현재의 반도체 구조물에 대한 칫수 요건 때문에, 기록 방법은 레이저 또는 e-비임에 의한 기록이 일반적이다. 레티클을 형성하는 통상적인 프로세스는 유리 또는 석영 플레이트를 제공하는 단계, 상기 유리 또는 석영 플레이트 상에 크롬 함유 층을 증착하는 단계, 반사방지 코팅(ARC)을 상기 크롬 함유 층 위에 증착하는 단계, 포토레지스트 층을 상기 ARC 층 위에 도포하는 단계, 소정의 패턴을 형성하도록 상기 포토레지스트 층 상에 직접 기록하는 단계, 상기 패턴을 포토레지스트 층에 현상하는 단계, 상기 패턴을 상기 크롬 층 내측으로 에칭시키는 단계, 및 잔류 포토레지스트 층을 제거하는 단계를 포함한다. 기록용 방사선과 접촉하는 포토레지스트 층의 영역이 현상 중에 더욱 용이하게 제거될 때, 포토레지스트는 포지티브-작용 포토레지스트라 지칭된다. 기록용 방사선과 접촉하는 포토레지스트 층의 영역이 현상 중에 더욱 용이하게 제거되지 않을 때, 포토레지스트는 네가티브-작용 포토레지스트라 지칭된다. 진보된 레티클 제조 재료는 종종 예를들어, 크롬, 크롬 산화물, 크롬 옥시질화물, 몰리브덴, 몰리브덴 규화물, 및 몰리브덴 텅스텐 규화물로부터 선택되는 재료층들의 조합물을 포함한다.
전술한 바와 같이, 레티클 또는 포토마스크는 패턴을 하부 포토레지스트에 전사시키는데 사용되는데, 이때 레티클은 레티클의 개방 영역을 거쳐 포토레지스트 표면을 통과하는 블랭킷 방사선에 노출된다. 그 후 포토레지스트는 현상되고 패턴을 하부 반도체 소자 구조물에 전사시키는데 사용된다. 일반적으로 0.3 ㎛ 이하인 현재의 패턴 칫수에 대한 요건으로 인해, 포토레지스트는 통상적으로 화학 증폭형 포토레지스트이다. 레티클 자체의 제조에 있어서, 화학 증폭형 DUV 포토레지스트가 레이저-생성된 DUV 방사선 또는 직접 기록 전자 비임 기록 툴과 조합되어 사용되어 왔다. 연속파 레이저-생성된 DUV 직접 기록 툴의 예는 미국 오리건주 힐스보로 소재의 에텍 시스템즈사에 의해 제조되어 시판되고 있는 ALTA(등록상표)이다. 전자 비임 직접 기록 툴의 예는 미국 캘리포니아주 헤이워드 소재의 에텍 시스템즈사에 의해 제조되어 시판되고 있는 MEBES(등록상표)이다.
포토마스크/레티클의 제조방법은 레티클 표면적을 횡단하는 패턴 임계 칫수의 균일도와 같은 레티클에 생성되는 패턴의 임계 칫수에 영향을 주는 다수의 상호연관된 단계들을 포함하는 복잡한 프로세스이다. 레티클 제조 프로세스에서 다수의 단계들을 변경시킴으로써, 프로세싱 윈도우를 포함한 제조 프로세스 자체의 재현성도 변경될 수 있다. 다수의 프로세스 조건을 지칭하는 프로세싱 윈도우는 생성물에 치명적인 결과를 부여함이없이 변경될 수 있다. 프로세싱 윈도우가 크면 클수록 생성물에 치명적인 영향없이 프로세싱 조건에 더 커다란 변경을 허용한다. 따라서, 일반적으로 생성물에 보다 높은 수율을 제공하므로, 커다란 프로세싱 윈도우가 바람직하다.
포토마스크 제조용 프로세싱 윈도우를 상당히 감소시킨 하나의 프로세싱 변수는 표면 위에 도포된 포토레지스트를 갖는 레티클 기판의 보증기간이다. 전술한 바와 같이, 0.3 ㎛이하 최소 배선폭으로 패턴을 이미징하는데 사용되는 포토레지스트는 통상적으로 화학 증폭형 포토레지스트이다. 화학 증폭형 포토레지스트(CAR)는 일반적으로 자외선, 레이저 광선, X선 또는 전자 비임에 의해 조사된 영역에 산(acid)을 생성하도록 설계된다. 조사된 영역은 패턴에서 순차적으로 현상된 CAR내에 이미지를 형성한다. 생성된 산은 CAR의 조사된 부분이 염기성 현상액에서 녹을 수 있게 한다. 다수의 변형된 화학 증폭형 레지스트는 주로 257 ㎚, 248 ㎚, 193 ㎚ 심층 자외선 리소그래피용으로 상업적으로 이용된다. 다수의 이러한 CAR는 전자 비임 광 리소그래피에 사용되어 왔다.
포토레지스트 및 특히 CAR은 임의의 환경 오염물에 민감하여 마스크 제조용으로의 사용에 문제가 되며 종종 특별한 처리를 요하는 것으로 공지되어 있다. CAR가 기판 위에 도포된 후 한 시간 이내에 리소그래피 성능을 악화시키는 것으로 알려져 있다. 이를 방지하기 위해, 본 출원인은 도포된 CAR을 갖춘 포토마스크 기판이 이미징/패턴화 방사선에 노출되기 이전에 저장될 수 있는 시간을 연장하는 수단으로서 도포용 보호 코팅을 CAR 위에 현상시켰다. 그러나, 보호 코팅의 현상 이후에, 직접 기록 이미징 프로세싱 중에 패턴화의 재현성이 양호하지 못했다. 포토마스크용 직접 기록 프로세스는 약 20 시간을 소모하며, 20 시간의 주기 동안에 포토레지스트는 패턴화된 미세구조물의 임계 칫수가 포토레지스트 상에 직접 기록이 진행된 때보다 더 작게 되는 방식으로 영향을 받게 된다. 본 발명은 포토마스크를 이미징하는 직접 기록 프로세스 중에 균일하고 재현가능한 패턴 임계 칫수를 CAR 내에서 어떻게 유지할 것인가에 대한 문제점을 해결했다.
관련 출원
본원은 1999년 4월 16일자로 출원되어 현재 계류중인 미국 출원 제 09/293,713호의 일부 계속출원인, 2001년 7월 12일자로 출원되어 현재 계류중인 미국 출원 제 09/904,454호의 일부 계속출원이다.
일반적으로, 본 발명은 때로 레티클이라 지칭되는 포토마스크의 제조 방법에 관한 것이다. 특히, 포토마스크는 화학 증폭형 포토레지스트를 레이저-생성 심층 자외선(DUV) 방사선 또는 전자 비임 방사선에 노출시키는 직접 기록 프로세스를 사용하여 제조된다.
도 1 은 상부에 배치된 크롬 층(114), 상기 크롬 층(144)위의 CAR(116) 층, 및 상기 CAR(116) 위의 보호성 상부코팅(122)을 가지는 기판(112)을 포함한 포토마스크 블랭크를 도시한 도면이다.
도 2a-2e 는 본 발명의 실시예에 따른 방법으로서, 직접 기록 프로세스를 이용하여 도 1 에 도시된 형태의 시작 구조를 패턴화하는 방법의 개념적 프로세스 흐름도이다.
도 2a 는 도 1 에 도시된 종류의 시작 구조(230)를 직접 기록 광화학선 방사가 가해진 상태에서 도시한 단면도이다.
도 2b 는 직접 기록(이미징)(223)후의, 그리고 사후 노출 베이크 중에, 도 2a 에 도시된 구조(230)의 단면도이다.
도 2c 는 보호성 상부코팅 층(222)의 제거후의, 도 2b 에 도시된 구조(230)의 단면도이다.
도 2d 는 CAR(216)의 현상 후의, 도 2c 에 도시된 구조(230)의 단면도이다.
도 2e 는 CAR(216)로 부터 크롬 함유 층(214)내로 패턴을 전사한 후의, 도 2d 에 도시된 구조(230)의 단면도이다.
도 3 은 CAR 코팅된 기판의 일반적인 주변 조건하에서의 수일간 저장의 함수로서 CAR의 현상시의 CAR 두께 손실(nm)을 나타낸 그래프(300)로서, 이때 실온은 약 20℃ 내지 약 25℃ 이고, 상대 습도는 약 40 내지 약 45% 이며, 곡선(302)은 보호되지 않은 CAR 코팅 기판을 나타내고, 곡선(304)은 약 7 의 pH 로 중화된 상부코팅으로 보호된 CAR 을 나타내는, 그래프이다.
포토마스크 제조에서, 투과성 보호 재료의 얇은 코팅(상부코팅)으로 포토레지스트를 오버코팅(overcoating)함으로써, 화학 증폭형 포토레지스트의 환경 민감성이 제거되거나 또는 적어도 상당히 감소된다. 상부코팅 재료가 포토레지스트의 굴절율 및 두께에 매칭(matching)되는 굴절율 및 두께를 가지는 것이 특히 바람직하다. 대표 식은 t=λ/4n 이며, 이 때 t 는 두께이고, λ는 포토레지스트 또는 상부코팅을 통과하는 빛의 파장이며, n 은 굴절율이다. 원자외선(deep UV) 직접 기록 이미징(direct wirte imaging)을 위해, 일반적으로 화학 증폭형 포토레지스트의 두께는 약 5000Å 범위이고, 굴절율은 약 1.79 내지 약 1.83 이다. 결과적으로, 상부코팅의 두께는 통상적으로 약 650Å 내지 약 675Å 범위이고, 상부코팅의 굴절율은 약 1.32 내지 약 1.45 범위이다. 일반적으로, 상부코팅의 굴절율은 화학 증폭형 포토레지스트의 굴절율의 제곱근과 유사하다.
통상적으로 약 20 시간인 포토마스크 패턴의 직접 기록에 필요한 장시간에걸쳐 개선된 안정성을 제공하기 위해, 프로세스의 다른 가변적 요건에 따라, 상부코팅은 가능한 한 pH 상태가 중성이도록 pH 조절된다. 비록 몇몇 경우에 약 6.5 내지 약 7.5 의 상부코팅 pH 가 사용될 수 있지만, 약 5 내지 약 8 범위의 pH가 일반적으로 이용되며, 이러한 약 5 내지 약 8 의 pH 범위는 상부코팅이 전하 분산(charge dissipating)(e-비임(beam) 리소그래피를 위한 경우 등, 상부코팅이 전도성을 가짐)되는 경우에 종종 필수적이다. 몇주가 될 수도 있는 저장 기간 동안, 상부코팅의 전도성은 하부의 포토레지스트의 유효 기간을 유지하는 것을 돕는다. 또한, 전도성은 직접 기록 프로세스 중에 포토레지스트의 안정성을 제공하는 것을 돕는다. 상부코팅의 pH가 약 5 내지 약 8 이 되도록 조절함으로써, 포토레지스트-코팅된 기판의 저장 기간이 증대되고, 광학적 또는 e-비임 방사에 의한 포토레지스트의 직접 기록 이미징중의 안정성이 상당히 개선되어, 포토레지스트의 리소그래피와 관련한 성능(임계 치수 및 완전성(integrity)과 관련한 성능)이 상당히 개선된다.
광학적 툴을 사용하여 포토레지스트의 직접 기록을 실시하는 경우들이 있다. DUV 방사선을 생성하는 직접 기록 연속파 레이저가 일 예가 될 것이다. 이러한 경우에, 약 6.5 내지 7.5 범위의 pH 를 가지는 보다 중성인 상부코팅이 이용될 것이다. 예를 들어, 2001년 5월 3일자로 출원되고 본 출원인에게 양도된 "광학적 이미징을 이용하여 고성능 마스크를 제조하기 위한 유기 바닥 반사방지 코팅"이라는 명칭의 미국 특허 출원 제 09/848,859 호에는, 미국 오리건주 힐스보로에 소재하는 에텍 시스템즈사(ETEC Systems Inc.)로부터 입수가능한 244 nm 또는 257 nm 마스크기록 레이저인 신규의 직접 패턴 기록 툴을 채용한 레티클 제조 프로세스가 개시되어 있다. 포토마스크 패턴의 257 nm 직접 기록에서의 프로세스 변수에 관한 추가적인 정보는 2001년 7월 23일자로 출원되고 본 출원인에게 양도된 "광학적으로 이미징된 고성능 포토마스크의 제조 방법"이라는 명칭의 미국 특허 출원 제 09/912,116 호에 기재되어 있다. 상기 두 출원들은 본 출원에 전체가 인용되어 포함된다.
전술한 pH 조절된 보호 상부코팅을 CAR 상에 도포함으로써, 실제 노출이 이루어지기 수개월전에 노출되지 않은 포토레지스트-코팅된 기판(웨이퍼 또는 레티클)을 제조할 수 있으며, 기판을 패터닝(이미징) 툴내에서 장시간 동안 유지할 수 있게 된다. 이는 패터닝 기록 직전에 기판에 포토레지스트를 도포하여야 하는 보호되지 않은 CAR 의 이용과 대비되며, 그러한 보호되지 않은 CAR 은 전체 포토마스크 직접 기록 프로세스 중에 일정한 임계 치수를 제공하지 못한다.
첨부 도면과 관련된 이하의 상세한 설명으로부터 본 발명을 보다 잘 이해할 수 있을 것이다.
상세한 설명에 앞서, 본 명세서 및 첨부된 특허청구범위에서 사용된 단수적 표현은, 다르게 명시하지 않는 이상, 복수의 대상물을 포함한다는 것을 주의하여야 한다.
본 출원은 현재 계류중인 2001년 7월 12일자 미국특허출원번호 제09/904,454호의 일부 계속출원이며, 상기 출원은 역시 현재 계류중인 1999년 4월 16일자 미국 특허출원번호 제09/293,713호의 일부 계속출원이다. 이들 두 출원의 내용이 각각 그 전체로서 본 발명에 참조되어 있다.
도 1은 본 발명의 일 실시예를 설명하기 위해 사용될 수 있는 구조물(130)의 단면을 개략적으로 도시한 도면이다. 상기 구조물(130)은, 하부로부터 상부까지, 포토마스크의 경우에 있어서, 통상적으로 석영, 플루오르화 석영, 보로실리케이트 글라스 또는 소다 라임 글라스로부터 선택되는, 기판(112); 크롬 함유층(114); DUV 포토레지스트층(CAR)(116); 및 보호 상부코팅(112);을 포함한다. 패턴화 이전의 대부분의 포토마스크 기판은 반사방지 코팅(ARC)을 포함하기 때문에, 이 구조물은 다소 단순화된다. 크롬 함유층(114)과 CAR 포토레지스트층(116) 사이에 ARC가 존재할 수 있으며, 포토레지스트층(116)과 보호 상부코팅(122) 사이에 존재할 수도 있다. 단순화를 위하여, 이러한 ARC를 도면에 도시하지 않았다. 포토레지스트층(116)과 보호 상부코팅(122) 사이에서의 ARC 사용과 관련하여, 보호 상부코팅(122) 자체가 ARC일 수 있다. 본 출원인의 실시예에서, 상기 보호 상부코팅(122)이 ARC이며, 그 이유는 가장 효율적인 구조이기 때문이다.
상기 크롬 함유층(114)과 CAR 포토레지스트층(116) 사이에 ARC가 존재한다면, 이 ARC는 통상적으로 "하부 ARC" 또는 "BARC" 라 지칭된다. 이 BARC는 크롬 옥시질화물, 티타늄 질화물, 실리콘 질화물 또는 몰리브덴 실리사이드(206)와 같이 통상적으로 무기 ARC이다. "광학 이미징을 이용한 고성능 마스크 제조용 유기 하부 반사방지 코팅"이란 명칭으로 2001년 5월 3일자로 출원되어 본 발명의 양수인에게 역시 양도되었으며 본원에 참조되어 있는 미국출원번호 제09/848,859호에 개시된 바와 같이, 무기 ARC상에 부가의 유기 ARC가 도포될 수 있다. 대안적으로, 상기 BARC는, 무기 BARC 없이 단독으로 사용되는, 미국출원번호 제09/848,589호에 개시된 종류의 유기 ARC일 수 있다. BARC의 존재가 크롬 함유층에 균일하게 형성되는 패턴 미세구조물의 임계치수에 영향을 미치지만, 본 발명은 패턴 미세구조물의 임계치수에 영향을 주는 다른 프로세스 요인에 관한 것이고, 따라서 상기 BARC에 대해 본원에서는 상세하게 논하지 않는다. 본 명세서를 읽으면, 당업자는 본 발명의 주요 실시예가, 보호 상부코팅(122)과, 포토마스크 전체에서 패턴 미세구조물의 임계치수가 균일하고, 양호한 패턴 미세구조물을 가진 반복가능한 포토마스크 패턴을 얻는데 있어서 기여한 보호 상부코팅의 역할에 관한 것임을 이해할 수 있을 것이다.
미세구조물을 제공하는 포토마스크가 0.3㎛ 또는 그 이하의 임계치수를 갖도록 하고, 상기 임계치수의 균일성이 포토마스크의 전체 표면에서 유지되는 것이 바람직하다. 이를 위하여, 상기 포토마스크 표면에 걸쳐 균일하게 이미징 및 현상되는 패턴화된 포토레지스트를 제조하는 방법이 필요하다. 포토레지스트의 현상된 패턴 프로파일은, 포토레지스트의 상부면에서 포토레지스트 재료의 산성성분을 중화시키는 주위 환경에 포토레지스트가 노출되었을 때 포토레지스트의 상부에서 발생하는 티-토핑(T-topping)을 포함하는, 표면왜곡이 최소로 나타내야 한다. 도 2a 내지 도 2e는 본 발명의 이해를 돕기 위한 일련의 프로세스 단계를 도시한 도면이다.
특히, 도 2a는, 하부로부터 상부까지, 용융 실리카층(212), 크롬 함유층(214), CAR(216) 및 보호 상부코팅(222)을 포함하는 구조물(230)을 도시하고 있다. 상기 구조물(230)은 CAR(216)에 이미지(224)를 생성하는 직접 기록 프로세스에서 화학선(223)에 노출되며, 상기 화학선은 보호 상부코팅(222)을 통과하여 CAR(216)에 도달한다. 하기의 실시예에서 설명한 바와 같이, 본 출원인은 244 또는 257㎚로 패턴을 직접 기록하여 심자외선 CAR 포토레지스트를 이미징하는 광학 이미징 시스템을 사용하였다. 전술한 바와 같이, 상기 보호 상부코팅(222)의 굴절률이 CAR(216)의 굴절률과 "매칭"되는 것이 중요하며; 통상적으로, 상기 보호 상부코팅(222)의 굴절률은 화학 증폭형 포토레지스트층(216)의 굴절률의 제곱근과 거의 동일하다.
도 2b에 도시된 바와 같이, 상기 이미지(224)가 CAR(216)의 전체 두께를 통해 전사되어 패턴이 적절하게 현상될 수 있도록, 상기 CAR(216)의 이미징 후, 상기 구조물(230)은 CAR 내에서의 완전화학반응의 활성화에 도움이 되도록 주위 환경하에서 특수하게 설계된 범위내의 온도에서 "베이킹"된다. 도 2b에 도시된 베이킹 단계는 통상적으로 "노출후 베이킹" 또는 "PEB"라 지칭된다. 도 2b에서, CAR(216) 내의 이미지를 완전히 활성화시키기 위하여 CAR(216)에 열원(226)이 제공된다.
도 2b에 도시된 베이킹 단계에 이어서, 도 2c에 도시된 바와 같은 선택적 단계가 상부코팅(222)을 제거하기 위해 사용될 수 있다. 상기 CAR 포토레지스트(216)를 현상하기 위해 사용되는 처리가 상부코팅(222)도 제거한다면, 이 단계는 필요하지 않을 수 있다. 본 실시예에서, 도 2c에 도시된 바와 같이,CAR 포토레지스트(216)를 현상하기 전에 상부코팅(222)을 제거하기 위해 탈이온수에 의한 세척이 이용되었다.
도 2d에 도시된 바와 같이, CAR(216)의 이미지(224)는 PEB 다음에 (그리고, 전술한 바와 같이, 상부코팅(222)이 제거된 다음에) 현상된다. 상기 구조물(230)은 통상적으로 현상액(228)에 노출되며, 상기 현상액은, 구조물(230)이 축을 중심으로 회전(229)할 때, 일반적으로 CAR(216)의 상면(217)에 도포된다. 상기 구조물(230)의 회전은 전체 기판(230) 표면에 대한 현상액(228)의 도포에 도움이 되며, 용해된 CAR 재료를 운반하는데 있어서 도움이 되고, 상기 CAR 재료는 패턴 현상 프로세스에서 제거된다. 방사선에 노출된 이미지(224)는 제거되어 개방 공간(225)을 형성하며, 적소에 비조사 영역(227)을 남기게 된다.
상기 CAR(216)이 패턴으로 현상된 후, 개방 영역상의 잔여 레지스트 찌꺼기를 제거하고 레지스트 표면으로부터 결함을 제거하기 위하여, 패턴화된 포토레지스트는 트리밍된다. 이러한 트리밍 단계가 도면에 도시되지 않았으나, 플라즈마 건식 에칭 프로세스를 이용하여 일반적으로 실행된다. 트리밍 프로세스는 본 발명의 양수인에게 양도되었으며 본원에 그 전체가 참조된 북스바움(Buxbaum) 등의 2001년 3월 16일자 미국특허출원번호 제09/811,186호에 개시되어 있다.
도 2e는 CAR(216)로부터 하층의 크롬 함유층(214)으로의 패턴 전사를 도시한 도면이다. 통상적으로, 이러한 패턴 전사는 이방성 플라즈마 건식 에칭 기술을 이용하여 수행된다. 도 2e에서, 플라즈마 에칭제(232)가 CAR(216)로부터 크롬 함유층(214)을 통해 용융 실리카 기판(212)의 상면(213)으로 패턴을 전사하는 것으로도시되어 있다.
Ⅰ. 예시적인 실시예에서 CAR를 이미징하는데 사용되는 장치
이하에서 서술되는 방법을 실행하기 위한 이미징 시스템은 새로운 장치로서, 244nm 또는 257nm 포토마스크 기록을 위하여 사용될 수 있는 직접 기록 연속파 레이저 툴이다. 상기 툴은 오리건주, 힐스보로, 에텍 시스템즈사로부터 입수할 수 있다. ALTATM이라는 상표명으로 통용되는, 이러한 직접 기록 연속파 레이저 툴은 래스터-스캔 기록 시스템(raster-scan writing system)이다. 상기 시스템은 각각의 면에 대하여 반사하는 32개의 레이저 비임을 회전시키고, 상기 기판을 가로질러 상기 비임(이하 브러쉬라고 언급됨)을 스캔하는 폴리건을 포함한다. 257nm ALTATM마스크 기록 레이저 툴에서의 기록 성능 사양은 (사용자가 사양의 50%, 또는 200nm에서 미세구조물을 구현 가능하도록 하는) 약 400nm의 최소 배선폭을 포함한다. 257nm ALTATM마스크 기록 레이저 툴은 사용자에게 향상된 미세구조물 임계 치수(CD) 제어, 선형화, 및 균일화를 제공한다. 그러나, 제작된 마스크 내의 잠재적인 완전한 성능의 실현은 마스크의 제조 동안의 적합한 프로세스 재료 및 프로세싱 방법의 사용에 의존한다. 최대 DUV 출력 전력은 거의 1.5 W 이다. 상기 레이저는 광학 코팅을 보호하고 상기 레이저의 수명을 연장하기 위하여 저 전력 레벨어서 작동된다. 257nm ALTATM직접 기록 연속파 레이저의 광학 구성에 관한 정보는 제조업체로부터 입수될 수 있다.
본 명세서에서 상세하게 서술되지 않으나, e-비임 직접 기록용으로 사용될 수 있는 대안적인 이미징 시스템은 켈리포니아, 헤이워드에 위치하는 에텍 시스템즈사로부터 입수할 수 있다.
Ⅱ. 보호 상부코팅을 구비한 CAR을 사용하여 포토마스크를 패턴화하는 방법
포토마스크를 패턴화하는 모든 방법은 본 방법을 적용함으로써 유용할 수 있다. 하기 실시예에서 서술되는 방법은 화학 증폭형 DUV 포토레지스트가 포토마스크로 패턴을 전사하기 위하여 사용될 때 포토마스크의 광학적 패턴화 중에 사용되는 상부코팅을 위한 것이다. 해로운 주위 성분들이 화학 증폭형 포토레지스트에 영향을 주는 것을 방지하기 위하여 확산층으로서 작용하는, 보호 상부코팅은 하기의 실시예에서 중화된 형태의 상부 ARC(TARC)이었다. 특히, 보호 상부코팅은 뉴저지, 소머빌의 클라리언트 코오퍼레이션(Clariant Corporation)사에서 판매한 AZ AQUATAR ⅢTM이었다. AZ AQUATAR ⅢTM은 1 중량% 미만의 농도로 존재하는 플루오로알킬술폰산, 5 중량% 미만의 농도로 존재하는 플루오로알킬술폰산 염, 및 95 중량% 이상의 농도로 존재하는 물을 포함한다. 본 실시예에서 서술되는 화학 증폭형 DUV 포토레지스트(CAR)는 아크릴에 기초한 화학 증폭형 포토레지스트이었다. 그러나, 본 발명의 범위는 이러한 특정한 종류의 보호 상부코팅 또는 이러한 종류의 화학 증폭형 포토레지스트의 집합군에 한정되는 것은 아니다.
상기 방법이 e-비임 이미징 시스템에 적용되는 경우, 보호 상부코팅 재료는 전하가 분산된 것일 수 있다. 전하 분산된 상부코팅은 쉽게 도포될 수 있는 어떤적합한 전도성 재료, 예를 들어, 폴리아닐린과 같은 (건성) 초기에 액상인 유기 전도성 재료의 박층일 수 있다. 일반적을 알려진 전하 분산 코팅은 뉴욕, 퍼체스의 IBM 코포레이션사으로부터 입수가능한 PANAQUASTM, 또는 일본, 오사카의 니토 케미칼스(Nitto Chemicals)사로부터 입수가능한 AQUASAVETM을 포함한다. 노출된 전자 비임은 일반적으로 10,000 볼트 이상의 가속 전압에서 작동되고, 따라서, 레지스트 표면 아래로 약 1미크론에서 수 미크론 정도의 (코팅 재료를 통하여) 침투 범위를 가질 수 있다. 보호 상부코팅에 대한 침투 가능성은 보호 코팅으로서, 직접 기록 광학 이미징에 대해서는 가능하지 않은 크롬 또는 알루미늄과 같은 얇은 금속층을 사용하는 것을 가능하게 한다.
상기에서 서술한 바와 같이, (즉, 오염물질의 확산을 방지할 수 있는) 확산 배리어로서 효과적일 수 있는 (전하 분산되거나 또는 전하 분산되지 않은) 어떤 적합한 재료는 보호 상부코팅으로서 채용될 수 있다.
실시예:
실시예 1:
도 2a에 있어서, 기판 재료(212), 크롬-함유 층(214), 및 CAR 층(216)은 본 발명이 속하는 기술 분야에서 잘 알려진 재료일 수 있다. 예를 들어, 상기 CAR는 Shipley Co., Inc에 의해 제조된 APEXTM, UVIIHSTM, Rjv5TM, 및 UV6TM; Clariant Corporation에 의해서 제조된 AZ DX1000PTM, DX1200PTM, 및 DX1300PTM,; ArchChemicals에 의해 제조된 ARCH8010TM, 및 ARCH8030TM; Tokyo Ohka Kogyo Co., Ltd. 에 의해서 제조된 ODUR-1010TM, 및 ODtJR-1013TM; Sumitomo Chemicals, Inc에 의해 제조된 PRK110A5TM와 같은 양각 톤 포토레지스트(positive tone photoresist)일 수 있다. 음각 톤(negative tone) CAR의 예는 Shipley Co., Inc에 의해 제조된 SAL-601TM, 및 SAL-603; Tokyo Ohka Kogyo Co., Ltd. 에 의해서 제조된 EN-009PGTM, 및 Sumitomo Chemicals, Inc.에 의해 제조된 NEB22TM이다.
CAR층(216)위에 도포되는 상부코팅 재료(222)는 Clariant Corporation에 의해서 제조된 AQUATARⅡTM, AQUATARⅢTM, AQUATARⅣTM와 캘리포니아 산호세에 위치하는, 일본의 JSR Chemical Co.에 의해 제조된 NFC540TM, 및 NFC620TM과 같은 공지의 재료일 수 있다. 이러한 특정한 상부코팅 재료는 또한 ARC로서 기능한다.
실시예 2
도 2a를 다시 참조하면, 상부코팅(222)과 CAR(216) 조합의 안정성은 패턴화되는 기판의 전체 표면에 걸쳐 직접 기록 패턴에 대한 균일한 임계 크기를 얻을 때 결정적으로 중요하다. 본 실시예의 경우, 라인(225) 및 공간(227)의 패턴의 기록을 위해 257 nm ALTATM직접 기록 레이저를 사용했었으며, 여기서 라인(225)의 폭은 0.35μ이었으며 라인 사이의 공간(227)의 폭은 0.35μ이었다. CAR(216)에 이미지(224)를 생성시키도록 직접 기록 이미징 방사(223)를 도포한 후에, (제한하기 위해서가 아닌 실례로, 열원(226)으로 도시된) 열을 적용하여 완전한 이미지(224)의 형성을 촉진하기 위해 도 2b에 도시된 바와 같이 기판(230)을 베이킹하였다.
도 2c를 참조하면, 하부 산성 이미징된 CAR(216)의 현상을 허용하도록 중성 pH의 상부코팅(222)을 제거하였다. 일부의 경우에, CAR(216)에 대해 상부코팅(222)이 현상액에 의해 제거되는 경우, 이러한 단계가 필요하지 않을 수도 있다. 10초동안 탈이온수에 의해 세척함으로써 AQUATAR ⅢTM상부 코팅 재료가 제거되고, 후속해서, 도 2d에 도시된 바와 같이 AZ 300 MIF 현상액에 의한 스핀(229)/스프레이(228) 프로세스를 사용하여 DX1100TMCAR 포토레지스트 층을 현상시켰다. 이러한 현상액은 테트라메틸 암모늄 하이드록사이드(TMAH)의 2.38 중량% 용액이다. 현상 시간은 약 60초였다. 기판 표면을 초기에 습윤화시키기에는 낮은 스핀 속도 즉, 대략 100 rpm이 최적이지만, 노즐 경로를 통과하는 플레이트의 회전으로 인해 균일한 교반이 여전히 가능하다. 현상액이 분배되는 동안 회전은 유지되고 그 후 대략 15 rpm으로 감소되었다. CO2-살포, 탈이온수에 의한 세척에 앞서, 나머지 현상액은 5초 동안 대략 1000 rpm에서 스핀-오프(spin-off)되어야 한다.
DX1100TMCAR 포토레지스트 층의 현상 후에, 드라이 에칭을 실행하여 도시하지 않은 유기 ARC 및 무기 ARC 층을 통해 하부 크롬층(214) 내로 라인(225) 및 스페이스(227)를 전사했다.
평균-대-타겟 편차(mean-to-target deviation)를 감소시키면서 여전히 양호한 CD 균일성을 유지하는 상태하에서 기판(230)은 고밀도 플라즈마에서 에칭되었다. 이러한 플라즈마 에칭은 3단계 프로세스 즉, 데스컴(descum)/유기 ARC(BARC) 제거단계; 크롬 옥시질화물(무기 ARC)/크롬 에칭 단계; 및 오버에칭 단계를 사용하여 유도 결합 플라즈마(inductively coupled plasma; ICP) 에칭 툴에서 실행되었다.
어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)의 TETRA®DPSTM플러스 에칭 시스템(미국 캘리포니아 산타클라라에 소재하는 어플라이드 머티어리얼스, 인코포레이티드로부터 이용가능함)과 같은 플라즈마 에칭 시스템을 사용하여 우수한 결과를 얻었다. 플라즈마 발생을 위해 그리고 기판 바이어싱(biasing)을 위해 개별의 동력 적용을 허용하는 플라즈마 프로세싱 시스템은 통상 분리 플라즈마 공급원(decoupled plasma source; DPS)이라고 한다. 기판 바이어싱은 플라즈마로부터 기판 표면을 향해 이온 및 다른 고에너지 종(species)을 끌어 당겨서 이방성 에칭을 가능하게 하는데 사용된다. 플라즈마 발생을 위한 동력과 기판 바이어싱을 위한 동력의 개별적인 적용은 플라즈마 밀도의 개별적 제어와 기판의 표면 상에 발생된 인력(DC 전압)을 허용한다.
분리 플라즈마 공급원(DPS)을 포함하는 장치의 상세한 설명은 플라즈마 프로세싱에 관한 11차 국제 심포지엄(1996년, 5월 7일)의 회보에서 얀 예(Yan Ye) 등에의해 제시되었는데, 이 회보는 여기에 참조로 병합하는 Electrochemical Society Proceedings(Volume 96 - 12, pp. 222-223, 1996)에 발표되었다. 1998년 5월 19일자로 하나와(Hanawa) 등에게 허여된 미국특허 제 5,753,044호는 개별의 동력 적용과 플라즈마 발생 및 유지와 기판 바이어싱에 대한 제어(제한이 아닌 예시로서)를 허용하는 종류의 RF 플라즈마 반응기의 개괄적인 설명을 포함한다.
3단계의 에칭 프로세스 가운데 데스컴/유기 ARC(BARC) 제거 단계는 현상 후에 개방 영역 상에 남아있는 임의의 잔류 CAR 포토레지스트 층(216)을 제거하며, 개방 영역으로부터 유기 ARC(BARC) 층(도시 않됨)을 제거한다. 이것은 산호 플라즈마를 사용하여 실행된다. 산호 플라즈마는 플라즈마 공급원 가스로서 산소 가스를 사용하여, 그리고 하부 전극(이러한 하부 전극 위에 레티클 플레이트가 지지된다)에만 동력을 제공함으로써 발생된다. 이것은 플라즈마와 레티클 사이에 전기용량 결합 플라즈마 및 DC 바이어스 모두를 발생시킨다. DC 바이어스는 플라즈마로부터 포토마스크 표면으로 산소 이온을 가속시켜서, 이온은 동역학적 에너지와 포토마스크 표면과 법선인 방향성을 가지고 포트마스크 표면에 충돌한다. 통상, 이러한 프로세스는 약 3 mTorr 내지 약 45 mTorr 압력의 프로세스 챔버에서 실행된다. 시행된 예시적인 실험에서, 프로세스 챔버 압력은 약 28 mTorr이였으며, 산소의 플라즈마 공급원 가스가 약 90 sccm의 유량으로 프로세싱 챔버 안으로 공급되었다. 13.56 MHz의 주파수에서 약 125W의 RF 동력이 하부 전극(캐소드)에 인가되었다. 이것은 포토마스크 기판 표면 상에 DC 바이어스를 제공하는 한편, 포토마스크 표면 위로 산소 플라즈마를 제공하였다. 레티클 플레이트의 온도는 25℃의 범위에있었고, 챔버 벽 온도는 70℃의 범위에 있었다. 데스컴/유기 ARC(BARC) 제거 시간은 약 30초였다. 데스컴/유기 ARC(BARC) 제거 프로세스로 인한 포토레지스트 손실은 약 750 Å이었다.
크롬 옥시질화물(무기 ARC)(도시 않음)/크롬 마스크 층(216)의 에칭은 염소-산소-헬륨 가스 혼합물로부터 발생되는 플라즈마를 사용하여 실행되었다. 염소: 산소: 헬륨 가스 혼합물의 분자 비율은 약 33: 117: 10 이었다. 전체 가스 유량은 약 160 sccm이었다. ICP 코일은 고밀도 플라즈마를 발생시키기 위해 2 MHZ로 약 500 W의 동력을 공급받았다. 하부 전극은 기판에 약 -50 V의 DC 전압을 발생시키기 위해 약 13.56 MHZ에서 약 5 W의 동력을 공급받았다. 프로세스 챔버의 벽 온도가 약 70 ℃인 반면에, 레티클 플레이트의 온도는 약 25 ℃였다. 에칭 종결 포인트는 광학 방사(optical emission)에 의해 탐지되었으며, 약 100 초 후에 발생되었다. 더 우수한 CD 균일성을 선호하지만, 통상적으로, 높은 산소 농도 및 낮은 압력은 높은 평균-대-타겟 편차(mean-to-target deviation) 및 낮은 선택비를 야기한다. 당업자는 자신의 특별한 장치를 위해 상기 프로세스를 최적화할 수 있다.
통상적으로, 크롬 층은 모든 개방 영역으로부터 잔류 크롬을 제거하기 위해 종결포인트를 지나 오버에칭된다. 일반적으로, 오버에칭 단계는 상기 개시된 크롬 에칭 프로세스의 일 확장예이다. 더 긴 오버에칭 단계는 더 높은 평균 대 목표값 편차의 결과를 가져온다. 크롬 스폿 결함 밀도(chrome spot defect densities)는 더 긴 오버에칭 프로세스에 대해 더 낮은 결함 밀도를 갖는 오버에칭의 길이에 의해 영향을 받을 수 있다.
출원인은 상부코팅의 재료 pH가 CAR의 이미징을 위한 직접 기록 프로세스 동안 안정성을 제공하도록 가능한 중성일 것이 요구된다는 것을 발견하였다. 게다가, 더 중성적인 상부코팅의 이용으로 이미징 방사선에 대한 노출 전에 CAR 코팅된 기판에 대한 저장 수명이 증가되었다. pH는 상부코팅이 전하 분산되는 경우 약 5 내지 약 8의 pH 범위내로 조절되어야 한다. 전하 분산이 필요하지 않은 경우, 상부코팅의 pH는 약 5 내지 약 8의 pH 범위내로 조절될 수 있지만, 더욱 통상적으로는 약 6.5 내지 약 7.5의 범위내로 조절된다.
본 실시예는 상부코팅 재료(222)의 pH 함수로서 상부코팅된 CAR(216)의 안정성의 비교예를 제공한다. 도 2a에 도시된 바와 같이, 제어 샘플 구조물(230)은 상부로부터 바닥으로 상부코팅 재료, 아쿠아타르(AQUATAR) ⅢTM의 층을 포함하는데, 상부코팅 재료는 액상 형태로 약 1.9 내지 약 2.2의 pH를 나타내며 약 450Å 두께의 코팅 건조된 층에서 약 1.40의 굴절율을 나타낸다. 상부코팅 재료층의 굴절율이 포토레지스트의 굴절율의 제곱근과 거의 동일할 때, 기판 바닥으로부터 반사되고나서 보호 층의 상부 및 레지스트 층의 상부로부터 내측으로 역행되는 빛이 일반적으로 동일한 강도인구조물을 제공한다. AQUATAR ⅢTM은 공기중에서의 스핀 건조의 상태로 약 1550 RPM으로 스핀 코팅함으로써 도포되어 약 450Å의 두께를 가지는 층을 생산한다. 유해한 대기 상태에 대한 확산 배리어로서 작용할 수 있으며, 적절한 굴절율을 가지는 층을 제공하는 다른 재료가 또한 이용될 수 있다. 상부코팅(222) 재료가 TARC(AQUATAR ⅢTM의 경우로서)로서 작용할 때,상부코팅(222)이 오염 보호 및 임계 치수(CD) 균일성을 제공할 뿐 만 아니라 정상파 문제도 감소된다.
AQUATAR ⅢTM상부코팅 층(222) 아래에는 DX1100TM포토레지스트(CAR)(216)의 층이 있다. 특히, 화학 증폭형 DUV 포토레지스트, DX1100은 화학 증폭제로서 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA); PMA; 1-메톡시-2-프로필 아세테이트; 변형 페놀릭 폴리머; 및 오늄 염 금속 할라이드 착물(onium salt metal halide complex)을 포함한다. 이러한 포토레지스트는 도포 전에 액상 형태로 약 6.0의 pH를 나타내고, 약 5,000Å 두께의 코팅 건조된 층에서 약 1.818의 굴절율을 나타낸다. DX1100TM포토레지스트는 약 5,000Å의 두께를 가지는 스핀 건조 층을 생산하도록 스핀 코팅을 통해 도포된다. 그리고나서 DX1100TM포토레지스트는 약 7 분의 시간 주기 동안 약 90℃의 온도로 미국 캘리포니아 산타 클라라의 APTTM에 의해 제조된 APTTM베이크 스테이션(bake station)에서 베이킹되고, 대기압 및 대기 상태에 노출되어, 약 4,500Å 두께의 건조 DX1100TM포토레지스트 층을 생산하도록 두께가 약 10% 감소한다.
DX1100TM포토레지스트(CAR)(216) 아래에는 KRF 17G[AZ/클라리언트(Clariant)로부터 입수가능한]로서 표시된 470Å 두께의 유기 ARC 층(도시 않음), 250Å 두께의 크롬 옥시질화물 무기 ARC(도시 않음) 층, 750Å두께의 금속 마스크 재료의 층(214), 및 실리콘 산화물 함유 기판(212)이 있으며, 통상적으로 금속 마스크 층은 약 600 내지 약 1000 옹스트롬의 두께를 형성하도록 증착된 크롬이다(크롬은 스퍼터링에 의해 증착될 수 있다).
본 발명의 실시예의 샘플 구조물은 일반적으로 전술된 구조물과 동일하지만, 상부코팅 재료에 대해, 이 재료는 도포 전에 pH 조절된다. 특히, AQUATAR ⅢTM상부코팅은 DX1100TM포토레지스트 층 상에 도포되기 전에, 테트라메틸 암모늄 하이드록사이드를 이용하여 약 7로 pH가 조절된다. 약 450Å 두께의 건조 층에서 측정할 때 중성화된 AQUATAR ⅢTM의 굴절율은 약 1.4이다. AQUATAR ⅢTM의 pH를 조절하기 위해 사용된 염기는 pH 조절 후 AQUATAR ⅢTM의 굴절율을 고려하여 선택되고 본 기술분야에 공지된 다수의 유기 또는 무기 염기 중 하나일 수 있다.
제어 샘플 및 본 발명의 실시예의 샘플 둘다 약 4975Å 두께를 가지는 DX11OOTM포토레지스트 층(216)의 표면 상에 약 450Å의 두께로 AQUATAR ⅢTM상부코팅 재료(222)를 도포함으로써 제조된다. AQUATAR ⅢTM상부코팅 재료(222)는 각각의 경우 스핀 건조 조건에서 도포된다.
그리고나서 상부코팅된 CAR의 안정성이 제어 샘플 및 본 발명의 실시예의 샘플 둘다 주어진 시간의 주기 동안 제조 플랜트 공기 상태 하의 약 22℃에서 견디도록 함으로써 평가되고, AQUATAR ⅢTM상부코팅의 제거가 후속된다. 그때 보호 상부코팅의 제거시 하부 CAR 포토레지스트에서의 두께 손실이 측정된다. CAR 포토레지스트 두께 손실에서의 감소는 상부코팅이 지속(standing)/저장 기간 동안 CAR 포토레지스트를 보호했다는 징후이다. 상부코팅은 10 초 탈이온수 세척을 이용하여 제거된다. 탈이온수는 CAR 포토레지스트의 현상을 참조하여, 이어서 설명될 스핀 도포 기술을 이용하여 도포된다.
도 3은 AQUATAR ⅢTM상부코팅의 도포 후 일수(day)의 함수로서 AQUATAR ⅢTM상부코팅의 제거시 DX1100TMCAR 포토레지스트의 두께 손실을 보여준다. 전술된 바와 같이, AQUATAR ⅢTM상부코팅의 제거 동안 DX1100TMCAR 포토레지스트 층의 두께의 손실은 AQUATAR ⅢTM상부코팅과 DX1100TMCAR 포토레지스트의 조합의 안정성의 징후이다. DX1100TMCAR 포토레지스트 두께가 상당한 정도로 감소된다면, 이는 AQUATAR ⅢTM상부코팅이 포토레지스트의 효율을 감소시키는 방식으로 DX1100TMCAR 포토레지시트와 반응한다는 징후이다. 도 3에 도시된 바와 같이, 곡선(302)은 AQUATAR ⅢTM상부코팅의 pH가 조절되지 않은 제어 샘플을 나타내고, 반면 곡선(304)는 AQUATAR ⅢTM상부코팅의 pH가 도포 전에 약 7.0으로 조절되는 본 발명의 실시예의 샘플을 나타낸다. 도 3에서의 축선(303)은 DX1100TMCAR 포토레지스트 층의 두께 손실을 nm로 표시하는 반면, 축선(305)은 DX1100TMCAR 포토레지스트의도포와 DX1100TMCAR 포토레지스트 층 표면으로부터 상부코팅의 제거 사이의 시간 주기를 일수(day)로 보여준다. 긴 시간 주기, 통상적으로 약 20 시간이 직접 기록을 위해 요구되기 때문에, 도포전에 AQUATAR ⅢTM상부코팅 재료의 중성화는 포토마스크 직접 기록을 위해 매우 안정적인 시스템을 제공한다. 게다가, CAR 포토레지스트 재료로 pH 조절된 상부코팅 재료의 안정성은 CAR 포토레지스트 코팅 기판의 더 긴 저장을 허용하고, 예를 들면, AQUATAR ⅢTM상부코팅 재료의 도포와 DX1100TMCAR 포토레지스트의 현상 사이의 더 긴 저장을 가능하게 한다.
크롬 층 에칭의 완료 후에, 크롬 층의 표면으로부터 모든 잔류 오염물질을 제거하기 위해 스트립 및 세정 프로세스가 실행된다. 사용된 스트립 화학 물질은, 약 75 ℃ 까지 가열되고 기판 플레이트의 표면 위에 도포되는 과산화 황산이었다. 과산화 황산에 의한 처리 후에, 기판 플레이트는 CO2-재이온수(CO2-reionized water) 또는 CO2-살포식 탈이온수(CO2-sparged deionized water)로 세척된다. 스트립 후, 기판 플레이트는 또 다른 탈이온수 세척이 후속되는 공업 표준 70: 30의 H2SO4/ H2O2용액을 사용하는 산 세정(acid clean)을 필요로 하였다. 스트립 단계는 캘리포니아, 산타클라라 소재의 스티그함마테크(®)[STEAGHAMMATECH(등록상표)]로부터 이용 가능한 스티그 에이에스씨 500 습식 화학적 프로세싱 스테이션(Steag ASC 500 wet chemical processing station)으로 실행되었다.
포토마스크(photomask)가 DX 1100TMCAR 포토레지스트 위에 AQUATAR ⅢTM의 제어 샘플 상부코팅(pH에 대해 조절되지 않음)을 구비하는 전술된 마스크 제조 방법을 사용하여 제조되었을 때, 기록 과정이 진행됨에 따라 미세구조물에 임계 치수(critical dimension)의 감소를 초래하였다. 200 ㎚(2,000 Å)의 임계 치수의 미세구조물을 가지는 포토마스크를 위해, 기록 프로세스 동안 약 250 Å 만큼 임계 치수가 감소했다. DX 1100TMCAR 포토레지스트 위에 AQUATAR ⅢTM의 pH 조절된 상부코팅을 구비하는, 본 발명의 방법을 사용하여 포토마스크가 제조되었을 때, 기록 프로세스 동안 미세구조물의 임계 치수에 있어 25 Å이하의 감소가 있었다.
본 원에서 개시된 것을 바탕으로, 이하 청구된 본 발명의 주요 내용에 부합하는 다른 실시예들이 당업자에 의해 확장될 수 있으므로, 상기 개시된 전형적인 실시예들이 본 발명의 범위를 제한하는 것은 아니다.

Claims (27)

  1. 포토마스크 제조 방법에서, 포토레지스트에 패턴화된 이미지의 직접 기록 중에 화학 증폭형 포토레지스트의 안정성을 제공하는 방법으로서,
    a) pH 조절된 확산 배리어 보호 상부코팅을 상기 포토레지스트의 표면 상에 도포하는 단계, 및
    b) 패턴화된 이미지를 상기 포토레지스트에 직접 기록하는 단계를 포함하는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  2. 제 1 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 상부코팅은 도포 전에 약 5 내지 약 8 범위의 pH를 나타내는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  3. 제 2 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 상부코팅은 전하 분산된 상부코팅인,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  4. 제 2 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 상부코팅 재료는 도포 전에 약 6.5 내지약 7.5 범위의 pH를 나타내는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  5. 제 3 항에 있어서,
    상기 상부코팅은 전자비임 직접 기록 툴과 조합되어 사용되는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  6. 제 4 항에 있어서,
    상기 상부코팅은 광학 직접 기록 툴과 조합되어 사용되는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  7. 제 6 항에 있어서,
    상기 광학 직접 기록 툴은 연속파 레이저 기록 툴인,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  8. 제 6 항에 있어서,
    상기 연속파 레이저 기록 툴은 244nm 또는 257nm의 파장에서 작동하는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  9. 제 8 항에 있어서,
    상기 파장은 257nm인,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  10. 제 1 항, 또는 제 2 항, 또는 제 3 항, 또는 제 4 항에 있어서,
    상기 상부코팅은 또한 반사방지 코팅으로 기능하는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  11. 제 10 항에 있어서,
    상기 상부코팅은 플루오로알킬술폰산 또는 그 염을 포함하는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  12. 제 1 항에 있어서,
    상기 화학 증폭형 포토레지스트는 오늄염 금속 할라이드 착물을 포함하는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  13. 제 1 항에 있어서,
    상기 화학 증폭형 포토레지스트 층은 상기 보호 상부코팅의 도포 전에 베이킹되는,
    화학 증폭형 포토레지스트의 안정성을 제공하는 방법.
  14. 포토마스크의 제조 방법으로서,
    a) 금속층을 기판 표면에 도포하는 단계,
    b) 상기 금속층 상의 위치에 포토레지스트 층을 도포하는 단계,
    c) 상기 포토레지스트 층 상의 위치에 pH 조절된 확산 배리어 보호 재료 층을 도포하는 단계, 및
    d) 상기 기판, 상부에 놓인 포토레지스트, 및 pH 조절된 확산 배리어 보호 재료를 방사선에 노광시키는 단계를 포함하는,
    포토마스크의 제조 방법.
  15. 제 14 항에 있어서,
    상기 노광 단계는 패턴화된 이미지를 직접 기록하는 형식인,
    포토마스크의 제조 방법.
  16. 제 14 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 재료는 도포 전에 약 5 내지 약 8 범위의 pH를 나타내는,
    포토마스크의 제조 방법.
  17. 제 16 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 재료 층은 전하를 분산시키는,
    포토마스크의 제조 방법.
  18. 제 16 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 재료는 도포 전에 약 6.5 내지 약 7.5 범위의 pH를 나타내는,
    포토마스크의 제조 방법.
  19. 제 17 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 재료는 전자비임 직접 기록 툴과 조합되어 사용되는,
    포토마스크의 제조 방법.
  20. 제 18 항에 있어서,
    상기 pH 조절된 확산 배리어 보호 재료 층은 광학 직접 기록 툴과 조합되어 사용되는,
    포토마스크의 제조 방법.
  21. 제 20 항에 있어서,
    상기 광학 직접 기록 툴은 연속파 레이저 기록 툴인,
    포토마스크의 제조 방법.
  22. 제 21 항에 있어서,
    상기 연속파 레이저 기록 툴은 244nm 또는 257nm의 파장에서 작동하는,
    포토마스크의 제조 방법.
  23. 제 22 항에 있어서,
    상기 파장은 257nm인,
    포토마스크의 제조 방법.
  24. 제 14 항, 또는 제 15 항, 또는 제 16 항, 또는 제 17 항, 또는 제 18 항에 있어서,
    상기 상부코팅은 또한 반사방지 코팅으로 기능하는,
    포토마스크의 제조 방법.
  25. 제 24 항에 있어서,
    상기 상부코팅은 플루오로알킬술폰산 또는 그 염을 포함하는,
    포토마스크의 제조 방법.
  26. 제 14 항에 있어서,
    상기 화학 증폭형 포토레지스트는 오늄염 금속 할라이드 착물을 포함하는,
    포토마스크의 제조 방법.
  27. 제 14 항에 있어서,
    상기 화학 증폭형 포토레지스트 층은 상기 pH 조절된 확산 배리어 보호 재료의 도포 전에 베이킹되는,
    포토마스크의 제조 방법.
KR10-2004-7007822A 2001-11-21 2002-11-19 포토레지스트 상에 이미지를 직접 기록하는 동안포토레지스트의 안정성을 연장하는 방법 KR20040054798A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/990,684 2001-11-21
US09/990,684 US6727047B2 (en) 1999-04-16 2001-11-21 Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
PCT/US2002/037237 WO2003046658A1 (en) 2001-11-21 2002-11-19 Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Publications (1)

Publication Number Publication Date
KR20040054798A true KR20040054798A (ko) 2004-06-25

Family

ID=25536423

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2004-7007822A KR20040054798A (ko) 2001-11-21 2002-11-19 포토레지스트 상에 이미지를 직접 기록하는 동안포토레지스트의 안정성을 연장하는 방법

Country Status (6)

Country Link
US (2) US6727047B2 (ko)
EP (1) EP1459131A1 (ko)
JP (1) JP2005510761A (ko)
KR (1) KR20040054798A (ko)
TW (1) TWI293181B (ko)
WO (1) WO2003046658A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160047525A (ko) * 2013-08-28 2016-05-02 호야 가부시키가이샤 마스크 블랭크, 마스크 블랭크의 제조 방법 및 전사용 마스크의 제조 방법
KR20160132979A (ko) * 2014-03-18 2016-11-21 호야 가부시키가이샤 레지스트층을 구비한 블랭크, 그 제조 방법, 마스크 블랭크 및 임프린트용 몰드 블랭크와, 전사용 마스크, 임프린트용 몰드 및 그들의 제조 방법

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
SG143946A1 (en) 2001-02-19 2008-07-29 Semiconductor Energy Lab Light emitting device and method of manufacturing the same
KR20030057067A (ko) * 2001-12-28 2003-07-04 엘지.필립스 엘시디 주식회사 인쇄방식을 이용한 패턴형성방법
KR100476935B1 (ko) * 2002-10-14 2005-03-16 삼성전자주식회사 식각공정의 임계치수 제어방법
US7147973B2 (en) * 2003-03-19 2006-12-12 Micron Technology, Inc. Method to recover the exposure sensitivity of chemically amplified resins from post coat delay effect
JP4376718B2 (ja) * 2003-07-28 2009-12-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置、デバイス製造方法、及び基板
US7175968B2 (en) * 2003-07-28 2007-02-13 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and a substrate
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
CN100407370C (zh) * 2003-12-11 2008-07-30 联华电子股份有限公司 浸没式微影制程以及应用于浸没式微影制程的结构
EP1564592A1 (en) * 2004-02-17 2005-08-17 Freescale Semiconductor, Inc. Protection of resist for immersion lithography technique
DE102004009173A1 (de) * 2004-02-25 2005-09-15 Infineon Technologies Ag Verfahren zur Kompensation der Verkürzung von Linienenden bei der Bildung von Linien auf einem Wafer
US7384878B2 (en) * 2004-05-20 2008-06-10 International Business Machines Corporation Method for applying a layer to a hydrophobic surface
US7799514B1 (en) * 2004-10-01 2010-09-21 Globalfoundries Inc Surface treatment with an acidic composition to prevent substrate and environmental contamination
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7461446B2 (en) * 2005-10-24 2008-12-09 Hitachi Global Storage Technologies Netherlands B.V. Method for repairing photoresist layer defects using index matching overcoat
JP2008071974A (ja) * 2006-09-14 2008-03-27 Nec Electronics Corp パターン形成方法およびこれを用いた半導体装置の製造方法
KR100944846B1 (ko) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 마스크 에칭 프로세스
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
KR101830327B1 (ko) 2012-03-08 2018-02-21 삼성전자주식회사 Euv용 패턴 및 duv용 패턴을 가진 포토마스크
US8906583B2 (en) * 2012-12-20 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked mask
US10347486B1 (en) 2017-12-19 2019-07-09 International Business Machines Corporation Patterning material film stack with metal-containing top coat for enhanced sensitivity in extreme ultraviolet (EUV) lithography
CN111487845A (zh) * 2019-01-29 2020-08-04 山东浪潮华光光电子股份有限公司 一种可以直接剥离的led管芯电极掩模图形的制作方法
US20220028684A1 (en) * 2020-06-18 2022-01-27 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist layer outgassing prevention
CN116263564A (zh) * 2021-12-13 2023-06-16 长鑫存储技术有限公司 光刻胶图案的形成方法和光刻胶结构

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02103547A (ja) 1988-10-13 1990-04-16 Fujitsu Ltd 導電性層の形成方法
JPH04204848A (ja) 1990-11-30 1992-07-27 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE4040117C2 (de) 1990-12-13 1994-02-17 Fotochem Werke Gmbh Stahlenempfindliches Material für die Elektronenstrahl- und Röntgenstrahllithographie und Verfahren zur Trockenentwicklung des Materials
US5260349A (en) * 1991-01-04 1993-11-09 Polyset Corporation Electron beam curable epoxy compositions
DE4117127A1 (de) 1991-05-25 1992-11-26 Basf Ag Lichtempfindliche aufzeichnungselemente, verfahren zu ihrer herstellung und weiterverarbeitung sowie geraete fuer die durchfuehrung dieser verfahren
SE469291B (sv) 1991-10-31 1993-06-14 Piab Ab Ejektorarrangemang innefattande minst tvaa tryckluftsdrivna ejektorer samt foerfarande foer att med minst tvaa tryckluftsdrivna ejektorer aastadkomma ett oenskat undertryck paa kortast moejliga tid och med minsta energifoerbrukning
JPH05226238A (ja) * 1991-10-31 1993-09-03 Internatl Business Mach Corp <Ibm> E−ビームレジスト用の塩基現像可能な放電トップ層
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JP2654339B2 (ja) 1992-11-24 1997-09-17 インターナショナル・ビジネス・マシーンズ・コーポレイション 感光性レジスト組成物及び基板上にレジスト像を形成する方法
JP3814830B2 (ja) 1993-05-28 2006-08-30 昭和電工株式会社 帯電防止材料、それを用いる帯電防止方法及び観察または検査方法、及び帯電が防止された物品
US6159665A (en) 1993-06-17 2000-12-12 Lucent Technologies Inc. Processes using photosensitive materials including a nitro benzyl ester photoacid generator
CA2131507C (en) 1993-11-08 1999-11-02 Edwin A. Chandross Resist materials and related processes
US5866304A (en) 1993-12-28 1999-02-02 Nec Corporation Photosensitive resin and method for patterning by use of the same
KR100380546B1 (ko) 1994-02-24 2003-06-25 가부시끼가이샤 히다치 세이사꾸쇼 반도체집적회로장치의제조방법
EP0781424B1 (de) 1994-09-12 1998-11-18 Siemens Aktiengesellschaft Photolithographische strukturerzeugung
JPH10120968A (ja) 1996-08-28 1998-05-12 Hitachi Chem Co Ltd レジスト保護膜用樹脂組成物、レジスト保護膜及びこれを用いたパターン製造法
JPH10261574A (ja) 1997-03-19 1998-09-29 Fujitsu Ltd 半導体装置の製造方法
KR100557368B1 (ko) 1998-01-16 2006-03-10 제이에스알 가부시끼가이샤 감방사선성 수지 조성물
JP2000089471A (ja) 1998-09-14 2000-03-31 Sharp Corp レジストパターンの形成方法
JP2000113807A (ja) 1998-10-07 2000-04-21 Yamaha Corp 電界放射型素子の製造方法
US6258514B1 (en) * 1999-03-10 2001-07-10 Lsi Logic Corporation Top surface imaging technique using a topcoat delivery system
US20010044077A1 (en) 1999-04-16 2001-11-22 Zoilo Chen Ho Tan Stabilization of chemically amplified resist coating
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6165682A (en) * 1999-09-22 2000-12-26 Arch Specialty Chemicals, Inc. Radiation sensitive copolymers, photoresist compositions thereof and deep UV bilayer systems thereof
US6582861B2 (en) 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6605394B2 (en) 2001-05-03 2003-08-12 Applied Materials, Inc. Organic bottom antireflective coating for high performance mask making using optical imaging
US6703169B2 (en) 2001-07-23 2004-03-09 Applied Materials, Inc. Method of preparing optically imaged high performance photomasks

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160047525A (ko) * 2013-08-28 2016-05-02 호야 가부시키가이샤 마스크 블랭크, 마스크 블랭크의 제조 방법 및 전사용 마스크의 제조 방법
KR20160132979A (ko) * 2014-03-18 2016-11-21 호야 가부시키가이샤 레지스트층을 구비한 블랭크, 그 제조 방법, 마스크 블랭크 및 임프린트용 몰드 블랭크와, 전사용 마스크, 임프린트용 몰드 및 그들의 제조 방법

Also Published As

Publication number Publication date
WO2003046658A1 (en) 2003-06-05
US20020076626A1 (en) 2002-06-20
TW200300568A (en) 2003-06-01
US6727047B2 (en) 2004-04-27
EP1459131A1 (en) 2004-09-22
TWI293181B (en) 2008-02-01
JP2005510761A (ja) 2005-04-21
US7135256B2 (en) 2006-11-14
US20050191562A1 (en) 2005-09-01

Similar Documents

Publication Publication Date Title
US6727047B2 (en) Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6998206B2 (en) Method of increasing the shelf life of a blank photomask substrate
WO2005083514A2 (en) Method of extending the stability of a photoresist during direct writing of an image
US6605394B2 (en) Organic bottom antireflective coating for high performance mask making using optical imaging
US6107009A (en) Photoresist developer and method
JP4996667B2 (ja) フォトマスク加工及び半導体処理において使用する増感され化学的に増幅されたフォトレジスト
US6610616B2 (en) Method for forming micro-pattern of semiconductor device
US20020071995A1 (en) Photoresist topcoat for deep ultraviolet (DUV) direct write laser mask fabrication
WO2002010858A2 (en) Process for manufacturing a microelectronic device
US7208249B2 (en) Method of producing a patterned photoresist used to prepare high performance photomasks
US20050221019A1 (en) Method of improving the uniformity of a patterned resist on a photomask
US7468227B2 (en) Method of reducing the average process bias during production of a reticle
JP3722597B2 (ja) 水溶性ポリマーの塗布方法及びパターン形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application